| Parent directory/ | - | - |
| ace-of-penguins-doc-1.4-r3.apk | 49750 | 2024-Oct-25 19:08 |
| a2jmidid-doc-9-r3.apk | 4285 | 2024-Oct-25 19:08 |
| abc-0_git20240102-r0.apk | 5131357 | 2024-Oct-25 19:08 |
| ace-of-penguins-1.4-r3.apk | 186098 | 2024-Oct-25 19:08 |
| a2jmidid-9-r3.apk | 28943 | 2024-Oct-25 19:08 |
| admesh-doc-0.98.5-r0.apk | 23992 | 2024-Oct-25 19:08 |
| advancemame-3.9-r4.apk | 13322734 | 2024-Oct-25 19:08 |
| advancemame-data-3.9-r4.apk | 6057079 | 2024-Oct-25 19:08 |
| advancemame-doc-3.9-r4.apk | 382538 | 2024-Oct-25 19:08 |
| advancemame-menu-3.9-r4.apk | 857118 | 2024-Oct-25 19:08 |
| advancemame-mess-3.9-r4.apk | 3825452 | 2024-Oct-25 19:08 |
| advancescan-1.18-r1.apk | 266115 | 2024-Oct-25 19:08 |
| advancescan-doc-1.18-r1.apk | 7440 | 2024-Oct-25 19:08 |
| adjtimex-1.29-r0.apk | 18928 | 2024-Oct-25 19:08 |
| adjtimex-doc-1.29-r0.apk | 7257 | 2024-Oct-25 19:08 |
| admesh-0.98.5-r0.apk | 27666 | 2024-Oct-25 19:08 |
| admesh-dev-0.98.5-r0.apk | 4139 | 2024-Oct-25 19:08 |
| amule-lang-2.3.3-r13.apk | 1644041 | 2024-Oct-25 19:08 |
| anarch-1.0-r1.apk | 93439 | 2024-Oct-25 19:08 |
| afetch-2.2.0-r1.apk | 9186 | 2024-Oct-25 19:08 |
| afetch-doc-2.2.0-r1.apk | 13902 | 2024-Oct-25 19:08 |
| agrep-0.8.0-r2.apk | 8528 | 2024-Oct-25 19:08 |
| agrep-doc-0.8.0-r2.apk | 4211 | 2024-Oct-25 19:08 |
| alarmwakeup-0.2.1-r0.apk | 6908 | 2024-Oct-25 19:08 |
| alarmwakeup-dbg-0.2.1-r0.apk | 18684 | 2024-Oct-25 19:08 |
| alarmwakeup-dev-0.2.1-r0.apk | 2616 | 2024-Oct-25 19:08 |
| alarmwakeup-libs-0.2.1-r0.apk | 4682 | 2024-Oct-25 19:08 |
| alarmwakeup-utils-0.2.1-r0.apk | 4338 | 2024-Oct-25 19:08 |
| alttab-1.7.1-r0.apk | 38857 | 2024-Oct-25 19:08 |
| alttab-doc-1.7.1-r0.apk | 10550 | 2024-Oct-25 19:08 |
| amdgpu-fan-0.1.0-r5.apk | 14347 | 2024-Oct-25 19:08 |
| amdgpu-fan-pyc-0.1.0-r5.apk | 9844 | 2024-Oct-25 19:08 |
| amiitool-2-r2.apk | 7476 | 2024-Oct-25 19:08 |
| amule-2.3.3-r13.apk | 4456033 | 2024-Oct-25 19:08 |
| amule-doc-2.3.3-r13.apk | 288202 | 2024-Oct-25 19:08 |
| anarch-doc-1.0-r1.apk | 18409 | 2024-Oct-25 19:08 |
| anari-sdk-0.7.2-r0.apk | 293486 | 2024-Oct-25 19:08 |
| anari-sdk-dev-0.7.2-r0.apk | 60002 | 2024-Oct-25 19:08 |
| anari-sdk-static-0.7.2-r0.apk | 191976 | 2024-Oct-25 19:08 |
| android-apkeep-0.17.0-r0.apk | 1977758 | 2024-Oct-25 19:08 |
| apmpkg-doc-1.5.1-r3.apk | 3125 | 2024-Oct-25 19:08 |
| angband-4.2.5-r0.apk | 23685008 | 2024-Oct-25 19:08 |
| ansible-bender-0.10.1-r2.apk | 37168 | 2024-Oct-25 19:08 |
| ansible-bender-doc-0.10.1-r2.apk | 10334 | 2024-Oct-25 19:08 |
| ansible-bender-pyc-0.10.1-r2.apk | 66570 | 2024-Oct-25 19:08 |
| ansiweather-1.19.0-r1.apk | 4833 | 2024-Oct-25 19:08 |
| ansiweather-doc-1.19.0-r1.apk | 3095 | 2024-Oct-25 19:08 |
| apache-mod-auth-gssapi-1.6.5-r1.apk | 61841 | 2024-Oct-25 19:08 |
| apache2-mod-authnz-external-3.3.3-r0.apk | 8114 | 2024-Oct-25 19:08 |
| apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10261 | 2024-Oct-25 19:08 |
| apache2-mod-realdoc-1-r1.apk | 4687 | 2024-Oct-25 19:08 |
| apk-snap-3.1.1-r0.apk | 6804 | 2024-Oct-25 19:08 |
| apmpkg-zsh-completion-1.5.1-r3.apk | 2505 | 2024-Oct-25 19:08 |
| apk-snap-doc-3.1.1-r0.apk | 20426 | 2024-Oct-25 19:08 |
| apmpkg-1.5.1-r3.apk | 1694676 | 2024-Oct-25 19:08 |
| apmpkg-bash-completion-1.5.1-r3.apk | 2240 | 2024-Oct-25 19:08 |
| apmpkg-fish-completion-1.5.1-r3.apk | 2115 | 2024-Oct-25 19:08 |
| arc-dark-metacity-20221218-r0.apk | 17888 | 2024-Oct-25 19:08 |
| apt-dater-1.0.4-r4.apk | 58666 | 2024-Oct-25 19:08 |
| apt-dater-doc-1.0.4-r4.apk | 10111 | 2024-Oct-25 19:08 |
| apt-dater-lang-1.0.4-r4.apk | 13447 | 2024-Oct-25 19:08 |
| apt-mirror-0.5.4-r0.apk | 9670 | 2024-Oct-25 19:08 |
| apt-mirror-doc-0.5.4-r0.apk | 4738 | 2024-Oct-25 19:08 |
| aqemu-0.9.4-r3.apk | 1669855 | 2024-Oct-25 19:08 |
| aqemu-doc-0.9.4-r3.apk | 7695 | 2024-Oct-25 19:08 |
| aravis-0.8.31-r0.apk | 50217 | 2024-Oct-25 19:08 |
| aravis-dev-0.8.31-r0.apk | 35165 | 2024-Oct-25 19:08 |
| aravis-libs-0.8.31-r0.apk | 185862 | 2024-Oct-25 19:08 |
| aravis-viewer-0.8.31-r0.apk | 68731 | 2024-Oct-25 19:08 |
| aravis-viewer-lang-0.8.31-r0.apk | 16377 | 2024-Oct-25 19:08 |
| arc-20221218-r0.apk | 1788 | 2024-Oct-25 19:08 |
| arc-cinnamon-20221218-r0.apk | 69669 | 2024-Oct-25 19:08 |
| arc-dark-20221218-r0.apk | 1791 | 2024-Oct-25 19:08 |
| arc-dark-cinnamon-20221218-r0.apk | 70070 | 2024-Oct-25 19:08 |
| arc-dark-gnome-20221218-r0.apk | 27726 | 2024-Oct-25 19:08 |
| arc-dark-gtk2-20221218-r0.apk | 39319 | 2024-Oct-25 19:08 |
| arc-dark-gtk3-20221218-r0.apk | 95509 | 2024-Oct-25 19:08 |
| arc-dark-gtk4-20221218-r0.apk | 88308 | 2024-Oct-25 19:08 |
| arc-dark-xfwm-20221218-r0.apk | 8062 | 2024-Oct-25 19:08 |
| arc-darker-20221218-r0.apk | 1806 | 2024-Oct-25 19:08 |
| arc-darker-gtk2-20221218-r0.apk | 39427 | 2024-Oct-25 19:08 |
| arc-darker-gtk3-20221218-r0.apk | 126715 | 2024-Oct-25 19:08 |
| arc-darker-gtk4-20221218-r0.apk | 112795 | 2024-Oct-25 19:08 |
| arc-darker-metacity-20221218-r0.apk | 17905 | 2024-Oct-25 19:08 |
| arc-darker-xfwm-20221218-r0.apk | 8056 | 2024-Oct-25 19:08 |
| arc-gnome-20221218-r0.apk | 29329 | 2024-Oct-25 19:08 |
| arc-gtk2-20221218-r0.apk | 38430 | 2024-Oct-25 19:08 |
| arc-gtk3-20221218-r0.apk | 128894 | 2024-Oct-25 19:08 |
| arc-gtk4-20221218-r0.apk | 116241 | 2024-Oct-25 19:08 |
| arc-icon-theme-20161122-r0.apk | 4571310 | 2024-Oct-25 19:08 |
| arc-lighter-20221218-r0.apk | 1804 | 2024-Oct-25 19:08 |
| arc-lighter-gtk2-20221218-r0.apk | 38439 | 2024-Oct-25 19:08 |
| arc-lighter-gtk3-20221218-r0.apk | 127567 | 2024-Oct-25 19:08 |
| arc-lighter-gtk4-20221218-r0.apk | 115524 | 2024-Oct-25 19:08 |
| arc-lighter-metacity-20221218-r0.apk | 17702 | 2024-Oct-25 19:08 |
| arc-lighter-xfwm-20221218-r0.apk | 7901 | 2024-Oct-25 19:08 |
| arc-metacity-20221218-r0.apk | 17658 | 2024-Oct-25 19:08 |
| arc-theme-20221218-r0.apk | 1479 | 2024-Oct-25 19:08 |
| arc-xfwm-20221218-r0.apk | 7878 | 2024-Oct-25 19:08 |
| arj-0_git20220125-r1.apk | 140222 | 2024-Oct-25 19:08 |
| arj-doc-0_git20220125-r1.apk | 10710 | 2024-Oct-25 19:08 |
| armagetronad-0.2.9.1.1-r0.apk | 1651447 | 2024-Oct-25 19:08 |
| armagetronad-doc-0.2.9.1.1-r0.apk | 94167 | 2024-Oct-25 19:08 |
| asahi-fwextract-0.7.1-r0.apk | 56179 | 2024-Oct-25 19:08 |
| asahi-scripts-20240822-r0.apk | 8239 | 2024-Oct-25 19:08 |
| aspell-es-1.11-r0.apk | 545808 | 2024-Oct-25 19:08 |
| atlantik-lang-3.5.10_git20240323-r0.apk | 70280 | 2024-Oct-25 19:08 |
| atomicparsley-20240608-r0.apk | 122130 | 2024-Oct-25 19:08 |
| atool-0.39.0-r4.apk | 18148 | 2024-Oct-25 19:08 |
| atool-bash-completion-0.39.0-r4.apk | 2089 | 2024-Oct-25 19:08 |
| atool-doc-0.39.0-r4.apk | 9830 | 2024-Oct-25 19:08 |
| aufs-util-20161219-r3.apk | 229520 | 2024-Oct-25 19:08 |
| aufs-util-dev-20161219-r3.apk | 1494 | 2024-Oct-25 19:08 |
| aufs-util-doc-20161219-r3.apk | 34711 | 2024-Oct-25 19:08 |
| autoconf-policy-0.1-r0.apk | 5624 | 2024-Oct-25 19:08 |
| autoremove-torrents-1.5.5-r0.apk | 36284 | 2024-Oct-25 19:08 |
| autoremove-torrents-doc-1.5.5-r0.apk | 11855 | 2024-Oct-25 19:08 |
| autoremove-torrents-pyc-1.5.5-r0.apk | 55078 | 2024-Oct-25 19:08 |
| autotrash-0.4.7-r0.apk | 23360 | 2024-Oct-25 19:08 |
| autotrash-pyc-0.4.7-r0.apk | 14396 | 2024-Oct-25 19:08 |
| avarice-2.14-r4.apk | 68118 | 2024-Oct-25 19:08 |
| avarice-doc-2.14-r4.apk | 9673 | 2024-Oct-25 19:08 |
| avra-1.4.2-r0.apk | 41383 | 2024-Oct-25 19:08 |
| avra-dev-1.4.2-r0.apk | 260850 | 2024-Oct-25 19:08 |
| azure-iot-sdk-c-static-1.11.0-r0.apk | 823576 | 2024-Oct-25 19:08 |
| b2sum-20190729-r2.apk | 14875 | 2024-Oct-25 19:08 |
| b2sum-doc-20190729-r2.apk | 2797 | 2024-Oct-25 19:08 |
| backup-manager-0.7.15-r1.apk | 56640 | 2024-Oct-25 19:08 |
| bake-2.5.1-r0.apk | 112266 | 2024-Oct-25 19:08 |
| bakelite-0.4.2-r0.apk | 33962 | 2024-Oct-25 19:08 |
| bananui-2.0.0-r0.apk | 57101 | 2024-Oct-25 19:08 |
| bananui-clock-0.1.0-r0.apk | 7568 | 2024-Oct-25 19:08 |
| bananui-daemons-0.1.0-r0.apk | 46430 | 2024-Oct-25 19:08 |
| bananui-demos-2.0.0-r0.apk | 10796 | 2024-Oct-25 19:08 |
| bananui-dbg-2.0.0-r0.apk | 176380 | 2024-Oct-25 19:08 |
| atlantik-3.5.10_git20240323-r0.apk | 400010 | 2024-Oct-25 19:08 |
| atlantik-doc-3.5.10_git20240323-r0.apk | 81212 | 2024-Oct-25 19:08 |
| bartib-1.0.1-r1.apk | 346181 | 2024-Oct-25 19:08 |
| bananui-dev-2.0.0-r0.apk | 90371 | 2024-Oct-25 19:08 |
| bananui-shell-0.2.0-r0.apk | 109987 | 2024-Oct-25 19:08 |
| barnyard2-2.1.14_git20160413-r1.apk | 127973 | 2024-Oct-25 19:08 |
| barnyard2-openrc-2.1.14_git20160413-r1.apk | 2824 | 2024-Oct-25 19:08 |
| base64c-0.2.1-r0.apk | 4640 | 2024-Oct-25 19:08 |
| base64c-dev-0.2.1-r0.apk | 5494 | 2024-Oct-25 19:08 |
| batmon-0.0.1-r0.apk | 431704 | 2024-Oct-25 19:08 |
| bchunk-1.2.2-r3.apk | 7427 | 2024-Oct-25 19:08 |
| bchunk-doc-1.2.2-r3.apk | 3065 | 2024-Oct-25 19:08 |
| bdfr-2.6.2-r1.apk | 134074 | 2024-Oct-25 19:08 |
| beard-0.4-r0.apk | 3217 | 2024-Oct-25 19:08 |
| beard-doc-0.4-r0.apk | 2534 | 2024-Oct-25 19:08 |
| bees-0.10-r2.apk | 282924 | 2024-Oct-25 19:08 |
| bees-openrc-0.10-r2.apk | 1993 | 2024-Oct-25 19:08 |
| berry-lang-1.1.0-r0.apk | 113393 | 2024-Oct-25 19:08 |
| bestline-0.0_git20211108-r0.apk | 21270 | 2024-Oct-25 19:08 |
| bestline-dev-0.0_git20211108-r0.apk | 1718 | 2024-Oct-25 19:08 |
| blackbox-1.20220610-r1.apk | 16083 | 2024-Oct-25 19:08 |
| bestline-doc-0.0_git20211108-r0.apk | 18463002 | 2024-Oct-25 19:08 |
| bgpq4-1.15-r0.apk | 34408 | 2024-Oct-25 19:08 |
| bgpq4-doc-1.15-r0.apk | 6494 | 2024-Oct-25 19:08 |
| bgs-0.8-r1.apk | 5688 | 2024-Oct-25 19:08 |
| bgs-doc-0.8-r1.apk | 2356 | 2024-Oct-25 19:08 |
| birdtray-1.9.0-r1.apk | 412709 | 2024-Oct-25 19:08 |
| bitlbee-facebook-1.2.2-r0.apk | 59547 | 2024-Oct-25 19:08 |
| bitlbee-mastodon-1.4.5-r0.apk | 46456 | 2024-Oct-25 19:08 |
| bitritter-0.1.1-r0.apk | 2311741 | 2024-Oct-25 19:08 |
| bkt-0.8.0-r0.apk | 351539 | 2024-Oct-25 19:08 |
| bkt-doc-0.8.0-r0.apk | 7431 | 2024-Oct-25 19:08 |
| blip-0.10-r0.apk | 15678 | 2024-Oct-25 19:08 |
| blip-doc-0.10-r0.apk | 31685 | 2024-Oct-25 19:08 |
| bliss-0.77-r1.apk | 67062 | 2024-Oct-25 19:08 |
| bliss-dev-0.77-r1.apk | 109435 | 2024-Oct-25 19:08 |
| boinc-7.24.3-r0.apk | 1598096 | 2024-Oct-25 19:08 |
| boinc-dev-7.24.3-r0.apk | 604865 | 2024-Oct-25 19:08 |
| boinc-doc-7.24.3-r0.apk | 8184 | 2024-Oct-25 19:08 |
| boinc-gui-7.24.3-r0.apk | 1015278 | 2024-Oct-25 19:08 |
| boinc-lang-7.24.3-r0.apk | 897997 | 2024-Oct-25 19:08 |
| boinc-libs-7.24.3-r0.apk | 203635 | 2024-Oct-25 19:08 |
| boinc-screensaver-7.24.3-r0.apk | 125453 | 2024-Oct-25 19:08 |
| bonzomatic-20230615-r0.apk | 657145 | 2024-Oct-25 19:08 |
| bootinfo-0.1.0-r4.apk | 19897 | 2024-Oct-25 19:08 |
| bootinfo-pyc-0.1.0-r4.apk | 8443 | 2024-Oct-25 19:08 |
| bootterm-0.5-r0.apk | 19282 | 2024-Oct-25 19:08 |
| bootterm-dbg-0.5-r0.apk | 2341 | 2024-Oct-25 19:08 |
| boson-0_git20211219-r0.apk | 17889 | 2024-Oct-25 19:08 |
| boxes-2.3.1-r0.apk | 79141 | 2024-Oct-25 19:08 |
| boxes-doc-2.3.1-r0.apk | 7285 | 2024-Oct-25 19:08 |
| brial-1.2.11-r4.apk | 1078551 | 2024-Oct-25 19:08 |
| caffeine-ng-doc-4.2.0-r1.apk | 3236 | 2024-Oct-25 19:08 |
| caffeine-ng-lang-4.2.0-r1.apk | 35183 | 2024-Oct-25 19:08 |
| caja-gtkhash-plugin-1.5-r0.apk | 25262 | 2024-Oct-25 19:08 |
| brial-dev-1.2.11-r4.apk | 1731267 | 2024-Oct-25 19:08 |
| btfs-2.24-r12.apk | 32874 | 2024-Oct-25 19:08 |
| btfs-doc-2.24-r12.apk | 2446 | 2024-Oct-25 19:08 |
| btpd-0.16-r2.apk | 79651 | 2024-Oct-25 19:08 |
| btpd-doc-0.16-r2.apk | 8597 | 2024-Oct-25 19:08 |
| bwrap-oci-0.2-r1.apk | 15604 | 2024-Oct-25 19:08 |
| bwrap-oci-doc-0.2-r1.apk | 2545 | 2024-Oct-25 19:08 |
| caffeine-ng-4.2.0-r1.apk | 102812 | 2024-Oct-25 19:08 |
| buildcache-0.28.9-r0.apk | 765002 | 2024-Oct-25 19:08 |
| bump2version-1.0.1-r6.apk | 21351 | 2024-Oct-25 19:08 |
| bump2version-pyc-1.0.1-r6.apk | 29806 | 2024-Oct-25 19:08 |
| burp-3.1.4-r0.apk | 171895 | 2024-Oct-25 19:08 |
| burp-doc-3.1.4-r0.apk | 101795 | 2024-Oct-25 19:08 |
| burp-server-3.1.4-r0.apk | 37475 | 2024-Oct-25 19:08 |
| care-2.3.0-r1.apk | 95522 | 2024-Oct-25 19:08 |
| care-doc-2.3.0-r1.apk | 8072 | 2024-Oct-25 19:08 |
| caps2esc-0.3.2-r0.apk | 4918 | 2024-Oct-25 19:08 |
| ccze-dev-0.2.1-r1.apk | 3400 | 2024-Oct-25 19:08 |
| cargo-run-bin-1.7.2-r0.apk | 434885 | 2024-Oct-25 19:08 |
| cargo-run-bin-doc-1.7.2-r0.apk | 5187 | 2024-Oct-25 19:08 |
| castor-0.9.0-r2.apk | 749085 | 2024-Oct-25 19:08 |
| catcodec-1.0.5-r2.apk | 12435 | 2024-Oct-25 19:08 |
| catcodec-doc-1.0.5-r2.apk | 5025 | 2024-Oct-25 19:08 |
| catdoc-0.95-r1.apk | 112878 | 2024-Oct-25 19:08 |
| catdoc-doc-0.95-r1.apk | 9465 | 2024-Oct-25 19:08 |
| cc65-2.19-r0.apk | 9262604 | 2024-Oct-25 19:08 |
| ccrtp-2.1.2-r0.apk | 86662 | 2024-Oct-25 19:08 |
| ccrtp-dev-2.1.2-r0.apk | 53977 | 2024-Oct-25 19:08 |
| ccrtp-doc-2.1.2-r0.apk | 32015 | 2024-Oct-25 19:08 |
| ccze-0.2.1-r1.apk | 80579 | 2024-Oct-25 19:08 |
| ccze-doc-0.2.1-r1.apk | 9047 | 2024-Oct-25 19:08 |
| cdba-1.0-r2.apk | 8249 | 2024-Oct-25 19:08 |
| cdba-server-1.0-r2.apk | 22239 | 2024-Oct-25 19:08 |
| cddlib-0.94m-r2.apk | 185718 | 2024-Oct-25 19:08 |
| cddlib-dev-0.94m-r2.apk | 14429 | 2024-Oct-25 19:08 |
| cddlib-doc-0.94m-r2.apk | 884461 | 2024-Oct-25 19:08 |
| cddlib-static-0.94m-r2.apk | 264222 | 2024-Oct-25 19:08 |
| cddlib-tools-0.94m-r2.apk | 96301 | 2024-Oct-25 19:08 |
| cdist-7.0.0-r6.apk | 523252 | 2024-Oct-25 19:08 |
| cdist-pyc-7.0.0-r6.apk | 130642 | 2024-Oct-25 19:08 |
| cgo-doc-0.6.1-r1.apk | 4213 | 2024-Oct-25 19:08 |
| cgo-0.6.1-r1.apk | 10485 | 2024-Oct-25 19:08 |
| cgiirc-0.5.12-r1.apk | 136306 | 2024-Oct-25 19:08 |
| checkpolicy-3.6-r0.apk | 365547 | 2024-Oct-25 19:08 |
| checkpolicy-doc-3.6-r0.apk | 4265 | 2024-Oct-25 19:08 |
| chim-1.1.2-r1.apk | 1678956 | 2024-Oct-25 19:08 |
| chim-doc-1.1.2-r1.apk | 2879 | 2024-Oct-25 19:08 |
| charls-2.4.2-r0.apk | 66254 | 2024-Oct-25 19:08 |
| charls-dev-2.4.2-r0.apk | 27246 | 2024-Oct-25 19:08 |
| clipit-doc-1.4.5-r3.apk | 2443 | 2024-Oct-25 19:08 |
| clipit-1.4.5-r3.apk | 67646 | 2024-Oct-25 19:08 |
| cimg-3.4.1-r0.apk | 845798 | 2024-Oct-25 19:08 |
| circuslinux-1.0.3-r1.apk | 20258 | 2024-Oct-25 19:08 |
| circuslinux-data-1.0.3-r1.apk | 1181487 | 2024-Oct-25 19:08 |
| circuslinux-doc-1.0.3-r1.apk | 18399 | 2024-Oct-25 19:08 |
| clatd-1.6-r0.apk | 12910 | 2024-Oct-25 19:08 |
| clevis-extra-pins-0_git20230629-r0.apk | 4767 | 2024-Oct-25 19:08 |
| clinfo-3.0.23.01.25-r0.apk | 48323 | 2024-Oct-25 19:08 |
| clinfo-doc-3.0.23.01.25-r0.apk | 6625 | 2024-Oct-25 19:08 |
| coccinelle-bash-completion-1.1.1-r2.apk | 2921 | 2024-Oct-25 19:08 |
| cluster-glue-1.0.12-r5.apk | 318328 | 2024-Oct-25 19:08 |
| cluster-glue-dev-1.0.12-r5.apk | 1114889 | 2024-Oct-25 19:08 |
| cluster-glue-doc-1.0.12-r5.apk | 33714 | 2024-Oct-25 19:08 |
| cluster-glue-libs-1.0.12-r5.apk | 121140 | 2024-Oct-25 19:08 |
| coccinelle-1.1.1-r2.apk | 9386453 | 2024-Oct-25 19:08 |
| coccinelle-doc-1.1.1-r2.apk | 16356 | 2024-Oct-25 19:08 |
| commoncpp-dev-7.0.1-r1.apk | 177177 | 2024-Oct-25 19:08 |
| colormake-0.9.20170221-r0.apk | 4166 | 2024-Oct-25 19:08 |
| colormake-doc-0.9.20170221-r0.apk | 2746 | 2024-Oct-25 19:08 |
| colorpicker-0_git20201128-r1.apk | 4354 | 2024-Oct-25 19:08 |
| commoncpp-7.0.1-r1.apk | 287017 | 2024-Oct-25 19:08 |
| commoncpp-doc-7.0.1-r1.apk | 15184 | 2024-Oct-25 19:08 |
| commoncpp-tools-7.0.1-r1.apk | 43478 | 2024-Oct-25 19:08 |
| console_bridge-1.0.2-r0.apk | 9866 | 2024-Oct-25 19:08 |
| console_bridge-dev-1.0.2-r0.apk | 4838 | 2024-Oct-25 19:08 |
| cowsay-3.04-r2.apk | 18814 | 2024-Oct-25 19:08 |
| cowsay-doc-3.04-r2.apk | 4093 | 2024-Oct-25 19:08 |
| coxeter-3.0-r1.apk | 49915 | 2024-Oct-25 19:08 |
| coxeter-dev-3.0-r1.apk | 58104 | 2024-Oct-25 19:08 |
| coxeter-libs-3.0-r1.apk | 304855 | 2024-Oct-25 19:08 |
| cpiped-0.1.0-r0.apk | 6732 | 2024-Oct-25 19:08 |
| cpuburn-1.4a_git20160316-r2.apk | 3407 | 2024-Oct-25 19:08 |
| crazydiskinfo-1.1.0-r1.apk | 33509 | 2024-Oct-25 19:08 |
| createrepo_c-1.1.4-r0.apk | 50623 | 2024-Oct-25 19:08 |
| createrepo_c-bash-completion-1.1.4-r0.apk | 2949 | 2024-Oct-25 19:08 |
| createrepo_c-dev-1.1.4-r0.apk | 32230 | 2024-Oct-25 19:08 |
| createrepo_c-doc-1.1.4-r0.apk | 8864 | 2024-Oct-25 19:08 |
| createrepo_c-libs-1.1.4-r0.apk | 88866 | 2024-Oct-25 19:08 |
| crossplane-pyc-0.5.8-r3.apk | 40190 | 2024-Oct-25 19:08 |
| crossplane-0.5.8-r3.apk | 30930 | 2024-Oct-25 19:08 |
| csol-1.6.0-r0.apk | 38442 | 2024-Oct-25 19:08 |
| csol-doc-1.6.0-r0.apk | 3941 | 2024-Oct-25 19:08 |
| ctorrent-dnh-3.3.2-r2.apk | 87615 | 2024-Oct-25 19:08 |
| cura-5.2.2-r1.apk | 44146929 | 2024-Oct-25 19:08 |
| cscope-15.9-r1.apk | 156519 | 2024-Oct-25 19:08 |
| cscope-doc-15.9-r1.apk | 7670 | 2024-Oct-25 19:08 |
| csfml-2.5.2-r0.apk | 98027 | 2024-Oct-25 19:08 |
| csfml-dev-2.5.2-r0.apk | 79052 | 2024-Oct-25 19:08 |
| csmith-2.3.0-r2.apk | 316388 | 2024-Oct-25 19:08 |
| csfml-doc-2.5.2-r0.apk | 208902 | 2024-Oct-25 19:08 |
| csmith-doc-2.3.0-r2.apk | 3147 | 2024-Oct-25 19:08 |
| cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2328 | 2024-Oct-25 19:08 |
| cyrus-sasl-xoauth2-static-0.2-r1.apk | 7090 | 2024-Oct-25 19:08 |
| cz-viator-hourglass-black-20210706-r0.apk | 224303 | 2024-Oct-25 19:08 |
| daemontools-0.76-r3.apk | 108571 | 2024-Oct-25 19:08 |
| daemontools-openrc-0.76-r3.apk | 2007 | 2024-Oct-25 19:08 |
| daktilo-0.6.0-r0.apk | 1828731 | 2024-Oct-25 19:08 |
| daktilo-bash-completion-0.6.0-r0.apk | 2214 | 2024-Oct-25 19:08 |
| daktilo-fish-completion-0.6.0-r0.apk | 1986 | 2024-Oct-25 19:08 |
| daktilo-doc-0.6.0-r0.apk | 8873 | 2024-Oct-25 19:08 |
| cura-lang-5.2.2-r1.apk | 4278750 | 2024-Oct-25 19:08 |
| curlftpfs-0.9.2-r3.apk | 24260 | 2024-Oct-25 19:08 |
| curlftpfs-doc-0.9.2-r3.apk | 6260 | 2024-Oct-25 19:08 |
| daktilo-zsh-completion-0.6.0-r0.apk | 2319 | 2024-Oct-25 19:08 |
| cutechess-1.3.1-r0.apk | 1130681 | 2024-Oct-25 19:08 |
| cutechess-cli-1.3.1-r0.apk | 353851 | 2024-Oct-25 19:08 |
| cutechess-cli-doc-1.3.1-r0.apk | 6737 | 2024-Oct-25 19:08 |
| cutechess-doc-1.3.1-r0.apk | 3656 | 2024-Oct-25 19:08 |
| cvs-fast-export-1.65-r0.apk | 49246 | 2024-Oct-25 19:08 |
| cvs-fast-export-doc-1.65-r0.apk | 17867 | 2024-Oct-25 19:08 |
| cvs-fast-export-tools-1.65-r0.apk | 8872 | 2024-Oct-25 19:08 |
| cyrus-sasl-xoauth2-0.2-r1.apk | 7082 | 2024-Oct-25 19:08 |
| detox-doc-2.0.0-r0.apk | 21232 | 2024-Oct-25 19:08 |
| dasht-2.4.0-r0.apk | 14579 | 2024-Oct-25 19:08 |
| dasht-doc-2.4.0-r0.apk | 11676 | 2024-Oct-25 19:08 |
| dasht-zsh-completion-2.4.0-r0.apk | 2137 | 2024-Oct-25 19:08 |
| dcnnt-0.10.0-r1.apk | 28449 | 2024-Oct-25 19:08 |
| dcnnt-doc-0.10.0-r1.apk | 6749 | 2024-Oct-25 19:08 |
| dcnnt-pyc-0.10.0-r1.apk | 63151 | 2024-Oct-25 19:08 |
| ddgr-2.2-r0.apk | 20577 | 2024-Oct-25 19:08 |
| ddgr-bash-completion-2.2-r0.apk | 2277 | 2024-Oct-25 19:08 |
| ddgr-doc-2.2-r0.apk | 11823 | 2024-Oct-25 19:08 |
| ddgr-fish-completion-2.2-r0.apk | 2356 | 2024-Oct-25 19:08 |
| ddgr-zsh-completion-2.2-r0.apk | 2758 | 2024-Oct-25 19:08 |
| ddserver-0_git20200930-r1.apk | 12450 | 2024-Oct-25 19:08 |
| deadbeef-soxr-20180801-r0.apk | 6018 | 2024-Oct-25 19:08 |
| debconf-1.5.82-r0.apk | 71067 | 2024-Oct-25 19:08 |
| debconf-bash-completion-1.5.82-r0.apk | 1899 | 2024-Oct-25 19:08 |
| debconf-doc-1.5.82-r0.apk | 27444 | 2024-Oct-25 19:08 |
| debconf-lang-1.5.82-r0.apk | 135604 | 2024-Oct-25 19:08 |
| debconf-utils-1.5.82-r0.apk | 6821 | 2024-Oct-25 19:08 |
| dehydrated-0.7.1-r0.apk | 27070 | 2024-Oct-25 19:08 |
| desed-1.2.1-r1.apk | 377620 | 2024-Oct-25 19:08 |
| desed-doc-1.2.1-r1.apk | 2948 | 2024-Oct-25 19:08 |
| detox-2.0.0-r0.apk | 117990 | 2024-Oct-25 19:08 |
| devil-1.8.0-r0.apk | 247289 | 2024-Oct-25 19:08 |
| devil-dev-1.8.0-r0.apk | 13206 | 2024-Oct-25 19:08 |
| dewduct-0.2.3-r0.apk | 1156935 | 2024-Oct-25 19:08 |
| dfu-programmer-1.1.0-r0.apk | 36675 | 2024-Oct-25 19:08 |
| dfu-programmer-bash-completion-1.1.0-r0.apk | 2851 | 2024-Oct-25 19:08 |
| dfu-programmer-doc-1.1.0-r0.apk | 5900 | 2024-Oct-25 19:08 |
| disfetch-3.7-r0.apk | 8490 | 2024-Oct-25 19:08 |
| diskonaut-0.11.0-r3.apk | 429162 | 2024-Oct-25 19:08 |
| dnsperf-2.14.0-r0.apk | 73426 | 2024-Oct-25 19:08 |
| dnsperf-doc-2.14.0-r0.apk | 35778 | 2024-Oct-25 19:08 |
| dlib-19.24.4-r0.apk | 763029 | 2024-Oct-25 19:08 |
| dlib-dev-19.24.4-r0.apk | 2546836 | 2024-Oct-25 19:08 |
| dnscrypt-wrapper-0.4.2-r3.apk | 30620 | 2024-Oct-25 19:08 |
| dnsenum-1.3.2-r0.apk | 21737 | 2024-Oct-25 19:08 |
| dnsenum-doc-1.3.2-r0.apk | 5366 | 2024-Oct-25 19:08 |
| drumgizmo-0.9.20-r1.apk | 404455 | 2024-Oct-25 19:09 |
| dustracing2d-2.1.1-r1.apk | 5370919 | 2024-Oct-25 19:09 |
| dvdbackup-0.4.2-r1.apk | 16310 | 2024-Oct-25 19:09 |
| dvdbackup-doc-0.4.2-r1.apk | 7758 | 2024-Oct-25 19:09 |
| dvdbackup-lang-0.4.2-r1.apk | 1459 | 2024-Oct-25 19:09 |
| dwl-0.7-r0.apk | 28130 | 2024-Oct-25 19:09 |
| dwl-doc-0.7-r0.apk | 3199 | 2024-Oct-25 19:09 |
| eatmemory-0.1.6-r2.apk | 4465 | 2024-Oct-25 19:09 |
| eboard-1.1.3-r1.apk | 1479821 | 2024-Oct-25 19:09 |
| eboard-doc-1.1.3-r1.apk | 4769 | 2024-Oct-25 19:09 |
| ecos-2.0.10-r0.apk | 38608 | 2024-Oct-25 19:09 |
| ecos-dev-2.0.10-r0.apk | 28590 | 2024-Oct-25 19:09 |
| edward-1.1.0-r0.apk | 1954952 | 2024-Oct-25 19:09 |
| edward-doc-1.1.0-r0.apk | 5400 | 2024-Oct-25 19:09 |
| dune-deps-1.3.0-r2.apk | 1048265 | 2024-Oct-25 19:09 |
| dublin-traceroute-0.4.2-r4.apk | 46781 | 2024-Oct-25 19:09 |
| dublin-traceroute-contrib-0.4.2-r4.apk | 2934 | 2024-Oct-25 19:09 |
| dublin-traceroute-dev-0.4.2-r4.apk | 7060 | 2024-Oct-25 19:09 |
| dublin-traceroute-doc-0.4.2-r4.apk | 2358 | 2024-Oct-25 19:09 |
| duc-1.4.5-r0.apk | 89864 | 2024-Oct-25 19:09 |
| duc-doc-1.4.5-r0.apk | 9302 | 2024-Oct-25 19:09 |
| dulcepan-1.0.2-r0.apk | 20916 | 2024-Oct-25 19:09 |
| electron-tasje-0.7.3-r0.apk | 1229724 | 2024-Oct-25 19:09 |
| empede-openrc-0.2.3-r0.apk | 1965 | 2024-Oct-25 19:09 |
| emulationstation-2.11.2-r1.apk | 1270689 | 2024-Oct-25 19:09 |
| elf_diff-0.7.1-r3.apk | 110876 | 2024-Oct-25 19:09 |
| elf_diff-pyc-0.7.1-r3.apk | 110555 | 2024-Oct-25 19:09 |
| elfio-3.12-r0.apk | 1454 | 2024-Oct-25 19:09 |
| elfio-dev-3.12-r0.apk | 56320 | 2024-Oct-25 19:09 |
| eludris-0.3.3-r1.apk | 1872793 | 2024-Oct-25 19:09 |
| eludris-doc-0.3.3-r1.apk | 2340 | 2024-Oct-25 19:09 |
| emacs-ace-window-0.10.0_git20220911-r0.apk | 23323 | 2024-Oct-25 19:09 |
| emacs-avy-0.5.0_git20230420-r0.apk | 44484 | 2024-Oct-25 19:09 |
| emacs-centaur-tabs-3.2_git20230601-r0.apk | 56394 | 2024-Oct-25 19:09 |
| emacs-closql-1.2.1_git20240712-r0.apk | 14714 | 2024-Oct-25 19:09 |
| emacs-consult-1.4_git20240405-r0.apk | 141065 | 2024-Oct-25 19:09 |
| emacs-emacsql-3.1.1_git20240714-r0.apk | 23144 | 2024-Oct-25 19:09 |
| emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6208 | 2024-Oct-25 19:09 |
| emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5992 | 2024-Oct-25 19:09 |
| emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 18374 | 2024-Oct-25 19:09 |
| emacs-emacsql-sqlite-module-3.1.1_git20240714-r0..> | 4394 | 2024-Oct-25 19:09 |
| emacs-epkg-3.3.3_git20240713-r0.apk | 37703 | 2024-Oct-25 19:09 |
| emacs-fossil-0_git20230504-r0.apk | 14979 | 2024-Oct-25 19:09 |
| emacs-gnosis-0.3.2-r0.apk | 63636 | 2024-Oct-25 19:09 |
| emacs-hackernews-0.7.0-r0.apk | 15897 | 2024-Oct-25 19:09 |
| emacs-helm-3.9.7_git20240329-r0.apk | 834736 | 2024-Oct-25 19:09 |
| emacs-hnreader-0_git20221116-r0.apk | 10011 | 2024-Oct-25 19:09 |
| emacs-hydra-0.15.0_git20220910-r0.apk | 47063 | 2024-Oct-25 19:09 |
| emacs-persist-0.6_git20240114-r0.apk | 6789 | 2024-Oct-25 19:09 |
| emacs-powerline-2.4_git20221110-r0.apk | 29614 | 2024-Oct-25 19:09 |
| emacs-sqlite3-api-0.18-r0.apk | 18541 | 2024-Oct-25 19:09 |
| emacs-svg-lib-0_git20240219-r0.apk | 19449 | 2024-Oct-25 19:09 |
| empede-0.2.3-r0.apk | 1717473 | 2024-Oct-25 19:09 |
| empede-doc-0.2.3-r0.apk | 2343 | 2024-Oct-25 19:09 |
| emulationstation-theme-gbz35-2.11.2-r1.apk | 3413269 | 2024-Oct-25 19:09 |
| enjoy-0.3-r1.apk | 12406 | 2024-Oct-25 19:09 |
| enlighten-doc-0.9.2-r1.apk | 3592 | 2024-Oct-25 19:09 |
| envsubst-0.1-r1.apk | 4684 | 2024-Oct-25 19:09 |
| epoch-1.3.0-r2.apk | 53502 | 2024-Oct-25 19:09 |
| epr-2.4.15-r1.apk | 16077 | 2024-Oct-25 19:09 |
| epr-pyc-2.4.15-r1.apk | 25004 | 2024-Oct-25 19:09 |
| espeakup-0.90-r2.apk | 12139 | 2024-Oct-25 19:09 |
| espeakup-openrc-0.90-r2.apk | 1857 | 2024-Oct-25 19:09 |
| esptool-4.8.1-r0.apk | 434186 | 2024-Oct-25 19:09 |
| esptool-pyc-4.8.1-r0.apk | 562522 | 2024-Oct-25 19:09 |
| ettercap-0.8.3.1-r3.apk | 624657 | 2024-Oct-25 19:09 |
| ettercap-doc-0.8.3.1-r3.apk | 46207 | 2024-Oct-25 19:09 |
| eva-0.3.1-r2.apk | 630082 | 2024-Oct-25 19:09 |
| endless-sky-0.10.2-r0.apk | 253927413 | 2024-Oct-25 19:09 |
| endless-sky-doc-0.10.2-r0.apk | 37389 | 2024-Oct-25 19:09 |
| enlighten-0.9.2-r1.apk | 7301 | 2024-Oct-25 19:09 |
| faultstat-bash-completion-0.01.11-r0.apk | 2351 | 2024-Oct-25 19:09 |
| faultstat-doc-0.01.11-r0.apk | 3108 | 2024-Oct-25 19:09 |
| fava-1.28-r0.apk | 1125069 | 2024-Oct-25 19:09 |
| fava-pyc-1.28-r0.apk | 168040 | 2024-Oct-25 19:09 |
| fbcur-1.0.1-r1.apk | 6818 | 2024-Oct-25 19:09 |
| extrace-0.9-r0.apk | 11711 | 2024-Oct-25 19:09 |
| extrace-doc-0.9-r0.apk | 3601 | 2024-Oct-25 19:09 |
| extremetuxracer-0.8.3-r0.apk | 41449246 | 2024-Oct-25 19:09 |
| extremetuxracer-doc-0.8.3-r0.apk | 6865 | 2024-Oct-25 19:09 |
| extundelete-0.2.4-r1.apk | 39474 | 2024-Oct-25 19:09 |
| fabric-3.2.2-r1.apk | 55947 | 2024-Oct-25 19:09 |
| fabric-pyc-3.2.2-r1.apk | 61591 | 2024-Oct-25 19:09 |
| fakeroot-tcp-1.32.1-r1.apk | 30363 | 2024-Oct-25 19:09 |
| fatback-1.3-r2.apk | 28790 | 2024-Oct-25 19:09 |
| fdm-materials-5.2.2-r1.apk | 61099 | 2024-Oct-25 19:09 |
| fatback-doc-1.3-r2.apk | 16468 | 2024-Oct-25 19:09 |
| fbcur-doc-1.0.1-r1.apk | 2217 | 2024-Oct-25 19:09 |
| fatresize-1.1.0-r1.apk | 8876 | 2024-Oct-25 19:09 |
| fatresize-doc-1.1.0-r1.apk | 15561 | 2024-Oct-25 19:09 |
| faultstat-0.01.11-r0.apk | 13124 | 2024-Oct-25 19:09 |
| featherpad-lang-1.5.1-r0.apk | 473863 | 2024-Oct-25 19:09 |
| featherpad-1.5.1-r0.apk | 696210 | 2024-Oct-25 19:09 |
| fff-2.2-r0.apk | 10990 | 2024-Oct-25 19:09 |
| fff-doc-2.2-r0.apk | 9201 | 2024-Oct-25 19:09 |
| fflas-ffpack-2.5.0-r3.apk | 353641 | 2024-Oct-25 19:09 |
| ffsend-0.2.76-r4.apk | 1595657 | 2024-Oct-25 19:09 |
| ffsend-bash-completion-0.2.76-r4.apk | 3700 | 2024-Oct-25 19:09 |
| ffsend-fish-completion-0.2.76-r4.apk | 3655 | 2024-Oct-25 19:09 |
| ffsend-zsh-completion-0.2.76-r4.apk | 4676 | 2024-Oct-25 19:09 |
| fildesh-0.2.0-r0.apk | 69928 | 2024-Oct-25 19:09 |
| fildesh-doc-0.2.0-r0.apk | 2150 | 2024-Oct-25 19:09 |
| fildesh-vim-0.2.0-r0.apk | 3634 | 2024-Oct-25 19:09 |
| filite-0.3.0-r2.apk | 1162758 | 2024-Oct-25 19:09 |
| findtow-0.1-r0.apk | 4800 | 2024-Oct-25 19:09 |
| finger-0.5-r0.apk | 8764 | 2024-Oct-25 19:09 |
| finger-doc-0.5-r0.apk | 3889 | 2024-Oct-25 19:09 |
| flintqs-1.0-r1.apk | 21831 | 2024-Oct-25 19:09 |
| firehol-3.1.7-r2.apk | 86787 | 2024-Oct-25 19:09 |
| firehol-doc-3.1.7-r2.apk | 690786 | 2024-Oct-25 19:09 |
| firehol-openrc-3.1.7-r2.apk | 2103 | 2024-Oct-25 19:09 |
| flare-game-1.14-r0.apk | 2241 | 2024-Oct-25 19:09 |
| flauschige-uhr-0.1-r1.apk | 4624 | 2024-Oct-25 19:09 |
| foma-dev-0.10.0_git20240712-r0.apk | 8676 | 2024-Oct-25 19:09 |
| fnf-0.1-r0.apk | 17042 | 2024-Oct-25 19:09 |
| fnf-doc-0.1-r0.apk | 4703 | 2024-Oct-25 19:09 |
| foma-0.10.0_git20240712-r0.apk | 329460 | 2024-Oct-25 19:09 |
| font-anonymous-pro-1.002-r2.apk | 270819 | 2024-Oct-25 19:09 |
| font-comic-neue-doc-2.51-r0.apk | 1028161 | 2024-Oct-25 19:09 |
| font-babelstone-han-15.1.3-r0.apk | 19204368 | 2024-Oct-25 19:09 |
| font-chivo-0_git20221110-r0.apk | 811370 | 2024-Oct-25 19:09 |
| font-chivo-mono-0_git20221110-r0.apk | 640885 | 2024-Oct-25 19:09 |
| font-comic-neue-2.51-r0.apk | 254741 | 2024-Oct-25 19:09 |
| font-firamath-0.3.4-r0.apk | 121167 | 2024-Oct-25 19:09 |
| font-fontawesome-4-4.7.0-r3.apk | 209926 | 2024-Oct-25 19:09 |
| font-intel-one-mono-1.3.0-r0.apk | 288201 | 2024-Oct-25 19:09 |
| font-katex-0.16.2-r0.apk | 871999 | 2024-Oct-25 19:09 |
| font-material-icons-4.0.0-r0.apk | 667500 | 2024-Oct-25 19:09 |
| font-monaspace-1.101-r0.apk | 1491 | 2024-Oct-25 19:09 |
| font-monaspace-argon-1.101-r0.apk | 2315696 | 2024-Oct-25 19:09 |
| font-monaspace-krypton-1.101-r0.apk | 2169082 | 2024-Oct-25 19:09 |
| font-monaspace-neon-1.101-r0.apk | 2239070 | 2024-Oct-25 19:09 |
| font-monaspace-radon-1.101-r0.apk | 2878220 | 2024-Oct-25 19:09 |
| font-monaspace-xenon-1.101-r0.apk | 2452474 | 2024-Oct-25 19:09 |
| font-monocraft-4.0-r0.apk | 692994 | 2024-Oct-25 19:09 |
| font-siji-20190218_git-r2.apk | 25080 | 2024-Oct-25 19:09 |
| font-stix-otf-2.13-r0.apk | 2139967 | 2024-Oct-25 19:09 |
| font-stix-ttf-2.13-r0.apk | 440379 | 2024-Oct-25 19:09 |
| font-tamzen-1.11.5-r1.apk | 63349 | 2024-Oct-25 19:09 |
| font-tinos-0_git20210228-r0.apk | 203449 | 2024-Oct-25 19:09 |
| font-tiresias-0_git20200704-r0.apk | 581903 | 2024-Oct-25 19:09 |
| font-tiresias-doc-0_git20200704-r0.apk | 59545 | 2024-Oct-25 19:09 |
| foolsm-1.0.21-r0.apk | 33289 | 2024-Oct-25 19:09 |
| foolsm-doc-1.0.21-r0.apk | 3946 | 2024-Oct-25 19:09 |
| foolsm-openrc-1.0.21-r0.apk | 1589 | 2024-Oct-25 19:09 |
| font-commit-mono-1.143-r0.apk | 257306 | 2024-Oct-25 19:09 |
| font-cousine-0_git20210228-r0.apk | 112627 | 2024-Oct-25 19:09 |
| font-fantasque-sans-1.8.0-r0.apk | 1224 | 2024-Oct-25 19:09 |
| font-fantasque-sans-doc-1.8.0-r0.apk | 5622 | 2024-Oct-25 19:09 |
| font-fantasque-sans-largelineheight-1.8.0-r0.apk | 323378 | 2024-Oct-25 19:09 |
| font-fantasque-sans-largelineheightnoloopk-1.8.0..> | 323384 | 2024-Oct-25 19:09 |
| font-fantasque-sans-noloopk-1.8.0-r0.apk | 323365 | 2024-Oct-25 19:09 |
| font-fantasque-sans-normal-1.8.0-r0.apk | 323377 | 2024-Oct-25 19:09 |
| font-fira-code-6.2-r0.apk | 856070 | 2024-Oct-25 19:09 |
| font-fira-code-vf-6.2-r0.apk | 148542 | 2024-Oct-25 19:09 |
| freediameter-extensions-1.5.0-r1.apk | 366007 | 2024-Oct-25 19:09 |
| freediameter-libfdcore-1.5.0-r1.apk | 152889 | 2024-Oct-25 19:09 |
| freediameter-libfdproto-1.5.0-r1.apk | 82859 | 2024-Oct-25 19:09 |
| frescobaldi-3.3.0-r1.apk | 3645970 | 2024-Oct-25 19:09 |
| frescobaldi-doc-3.3.0-r1.apk | 2539 | 2024-Oct-25 19:09 |
| frescobaldi-pyc-3.3.0-r1.apk | 1253335 | 2024-Oct-25 19:09 |
| fulcrum-1.9.8-r1.apk | 922556 | 2024-Oct-25 19:09 |
| fulcrum-admin-1.9.8-r1.apk | 8104 | 2024-Oct-25 19:09 |
| fulcrum-doc-1.9.8-r1.apk | 22132 | 2024-Oct-25 19:09 |
| fusee-nano-0.5.3-r1.apk | 21883 | 2024-Oct-25 19:09 |
| fusee-nano-udev-0.5.3-r1.apk | 1745 | 2024-Oct-25 19:09 |
| fusesoc-2.3-r0.apk | 47416 | 2024-Oct-25 19:09 |
| fpc-3.2.2-r4.apk | 72679096 | 2024-Oct-25 19:09 |
| fpc-doc-3.2.2-r4.apk | 1301573 | 2024-Oct-25 19:09 |
| fpc-stage0-3.2.2-r3.apk | 6956818 | 2024-Oct-25 19:09 |
| fpp-0.9.5-r0.apk | 29971 | 2024-Oct-25 19:09 |
| fpp-doc-0.9.5-r0.apk | 5724 | 2024-Oct-25 19:09 |
| freealut-1.1.0-r1.apk | 18241 | 2024-Oct-25 19:09 |
| freealut-dev-1.1.0-r1.apk | 24089 | 2024-Oct-25 19:09 |
| freediameter-1.5.0-r1.apk | 9257 | 2024-Oct-25 19:09 |
| freediameter-dev-1.5.0-r1.apk | 55075 | 2024-Oct-25 19:09 |
| fusesoc-pyc-2.3-r0.apk | 91405 | 2024-Oct-25 19:09 |
| gammastep-2.0.9-r3.apk | 91825 | 2024-Oct-25 19:09 |
| fxfloorboard-katana-mk2-20240515-r1.apk | 5738915 | 2024-Oct-25 19:09 |
| fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1138485 | 2024-Oct-25 19:09 |
| gammastep-doc-2.0.9-r3.apk | 14399 | 2024-Oct-25 19:09 |
| gammastep-lang-2.0.9-r3.apk | 79397 | 2024-Oct-25 19:09 |
| gammastep-pyc-2.0.9-r3.apk | 17281 | 2024-Oct-25 19:09 |
| gatling-0.16-r6.apk | 149353 | 2024-Oct-25 19:09 |
| gatling-doc-0.16-r6.apk | 9295 | 2024-Oct-25 19:09 |
| gatling-openrc-0.16-r6.apk | 2850 | 2024-Oct-25 19:09 |
| gaupol-1.12-r2.apk | 282802 | 2024-Oct-25 19:09 |
| gaupol-doc-1.12-r2.apk | 2427 | 2024-Oct-25 19:09 |
| gaupol-lang-1.12-r2.apk | 283325 | 2024-Oct-25 19:09 |
| gaupol-pyc-1.12-r2.apk | 429299 | 2024-Oct-25 19:09 |
| genact-1.4.2-r0.apk | 1401210 | 2024-Oct-25 19:09 |
| geomyidae-0.34-r2.apk | 15191 | 2024-Oct-25 19:09 |
| geomyidae-doc-0.34-r2.apk | 7831 | 2024-Oct-25 19:09 |
| geomyidae-openrc-0.34-r2.apk | 2036 | 2024-Oct-25 19:09 |
| geonames-0.3.1-r2.apk | 847106 | 2024-Oct-25 19:09 |
| geonames-dev-0.3.1-r2.apk | 3089 | 2024-Oct-25 19:09 |
| geonames-doc-0.3.1-r2.apk | 12899 | 2024-Oct-25 19:09 |
| geonames-lang-0.3.1-r2.apk | 4801103 | 2024-Oct-25 19:09 |
| getssl-2.48-r0.apk | 84160 | 2024-Oct-25 19:09 |
| gf2x-1.3.0-r1.apk | 39857 | 2024-Oct-25 19:09 |
| gf2x-dev-1.3.0-r1.apk | 62320 | 2024-Oct-25 19:09 |
| gfan-0.6.2-r1.apk | 1559766 | 2024-Oct-25 19:09 |
| ghc-filesystem-1.5.14-r0.apk | 39542 | 2024-Oct-25 19:09 |
| ginger-2.4.0-r7.apk | 263234 | 2024-Oct-25 19:09 |
| ginger-lang-2.4.0-r7.apk | 128459 | 2024-Oct-25 19:09 |
| ginger-pyc-2.4.0-r7.apk | 211801 | 2024-Oct-25 19:09 |
| gingerbase-2.3.0-r7.apk | 199911 | 2024-Oct-25 19:09 |
| gingerbase-lang-2.3.0-r7.apk | 54136 | 2024-Oct-25 19:09 |
| gingerbase-pyc-2.3.0-r7.apk | 62949 | 2024-Oct-25 19:09 |
| git-revise-0.7.0-r5.apk | 24883 | 2024-Oct-25 19:09 |
| git-revise-doc-0.7.0-r5.apk | 5079 | 2024-Oct-25 19:09 |
| git-revise-pyc-0.7.0-r5.apk | 43205 | 2024-Oct-25 19:09 |
| git-secret-0.5.0-r0.apk | 15104 | 2024-Oct-25 19:09 |
| git-secret-doc-0.5.0-r0.apk | 17475 | 2024-Oct-25 19:09 |
| git2json-0.2.3-r8.apk | 7601 | 2024-Oct-25 19:09 |
| git2json-pyc-0.2.3-r8.apk | 5827 | 2024-Oct-25 19:09 |
| gitoxide-0.14.0-r1.apk | 2723920 | 2024-Oct-25 19:09 |
| glfw-wayland-3.3.8-r3.apk | 67586 | 2024-Oct-25 19:09 |
| glfw-wayland-dbg-3.3.8-r3.apk | 199822 | 2024-Oct-25 19:09 |
| glfw-wayland-dev-3.3.8-r3.apk | 46710 | 2024-Oct-25 19:09 |
| glmark2-2023.01-r1.apk | 8329633 | 2024-Oct-25 19:09 |
| glmark2-doc-2023.01-r1.apk | 13115 | 2024-Oct-25 19:09 |
| gloox-1.0.28-r0.apk | 373307 | 2024-Oct-25 19:09 |
| gloox-dev-1.0.28-r0.apk | 958335 | 2024-Oct-25 19:09 |
| gnome-metronome-lang-1.3.0-r0.apk | 25275 | 2024-Oct-25 19:09 |
| gnu-apl-1.9-r0.apk | 1388474 | 2024-Oct-25 19:09 |
| gnu-apl-dev-1.9-r0.apk | 612868 | 2024-Oct-25 19:09 |
| gnu-apl-doc-1.9-r0.apk | 1632312 | 2024-Oct-25 19:09 |
| gnome-common-3.18.0-r3.apk | 11807 | 2024-Oct-25 19:09 |
| gnome-metronome-1.3.0-r0.apk | 465830 | 2024-Oct-25 19:09 |
| gobang-0.1.0_alpha5-r1.apk | 1950087 | 2024-Oct-25 19:09 |
| greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3356 | 2024-Oct-25 19:09 |
| grip-4.2.4-r0.apk | 392077 | 2024-Oct-25 19:09 |
| grip-lang-4.2.4-r0.apk | 147890 | 2024-Oct-25 19:09 |
| grip-doc-4.2.4-r0.apk | 6326 | 2024-Oct-25 19:09 |
| goomwwm-1.0.0-r5.apk | 47595 | 2024-Oct-25 19:09 |
| goxel-0.15.1-r0.apk | 1761580 | 2024-Oct-25 19:09 |
| granite7-7.5.0-r0.apk | 122349 | 2024-Oct-25 19:09 |
| granite7-dev-7.5.0-r0.apk | 43140 | 2024-Oct-25 19:09 |
| granite7-lang-7.5.0-r0.apk | 53219 | 2024-Oct-25 19:09 |
| greetd-mini-wl-greeter-0_git20230821-r0.apk | 20480 | 2024-Oct-25 19:09 |
| greetd-mini-wl-greeter-bash-completion-0_git2023..> | 2247 | 2024-Oct-25 19:09 |
| gtkwave-3.3.120-r0.apk | 2678163 | 2024-Oct-25 19:09 |
| grpc-health-check-0.1.1-r3.apk | 988331 | 2024-Oct-25 19:09 |
| gtkhash-1.5-r0.apk | 89049 | 2024-Oct-25 19:09 |
| gtkhash-lang-1.5-r0.apk | 47744 | 2024-Oct-25 19:09 |
| gtkwave-doc-3.3.120-r0.apk | 27772 | 2024-Oct-25 19:09 |
| halp-0.2.0-r0.apk | 1070019 | 2024-Oct-25 19:09 |
| h4h5tools-2.2.5-r4.apk | 103957 | 2024-Oct-25 19:09 |
| h4h5tools-dev-2.2.5-r4.apk | 8965 | 2024-Oct-25 19:09 |
| h4h5tools-doc-2.2.5-r4.apk | 2790 | 2024-Oct-25 19:09 |
| h4h5tools-static-2.2.5-r4.apk | 115287 | 2024-Oct-25 19:09 |
| habitctl-0.1.0-r2.apk | 314331 | 2024-Oct-25 19:09 |
| halp-bash-completion-0.2.0-r0.apk | 2224 | 2024-Oct-25 19:09 |
| halp-doc-0.2.0-r0.apk | 7043 | 2024-Oct-25 19:09 |
| halp-fish-completion-0.2.0-r0.apk | 2004 | 2024-Oct-25 19:09 |
| halp-zsh-completion-0.2.0-r0.apk | 2460 | 2024-Oct-25 19:09 |
| hamster-time-tracker-3.0.3-r2.apk | 159570 | 2024-Oct-25 19:09 |
| hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2021 | 2024-Oct-25 19:09 |
| hamster-time-tracker-doc-3.0.3-r2.apk | 118989 | 2024-Oct-25 19:09 |
| hamster-time-tracker-lang-3.0.3-r2.apk | 210638 | 2024-Oct-25 19:09 |
| hamster-time-tracker-pyc-3.0.3-r2.apk | 366727 | 2024-Oct-25 19:09 |
| handlebars-1.0.0-r1.apk | 107266 | 2024-Oct-25 19:09 |
| handlebars-dev-1.0.0-r1.apk | 33163 | 2024-Oct-25 19:09 |
| handlebars-utils-1.0.0-r1.apk | 10179 | 2024-Oct-25 19:09 |
| harminv-doc-1.4.2-r1.apk | 5797 | 2024-Oct-25 19:09 |
| harminv-1.4.2-r1.apk | 7885 | 2024-Oct-25 19:09 |
| harminv-dev-1.4.2-r1.apk | 3208 | 2024-Oct-25 19:09 |
| hardened-malloc-13-r0.apk | 36547 | 2024-Oct-25 19:09 |
| harminv-libs-1.4.2-r1.apk | 23945 | 2024-Oct-25 19:09 |
| hashcat-6.2.6-r0.apk | 62349274 | 2024-Oct-25 19:09 |
| hashcat-doc-6.2.6-r0.apk | 2253583 | 2024-Oct-25 19:09 |
| hddfancontrol-pyc-1.6.2-r0.apk | 34847 | 2024-Oct-25 19:09 |
| hdf4-4.2.15-r2.apk | 252262 | 2024-Oct-25 19:09 |
| hdf4-dev-4.2.15-r2.apk | 103280 | 2024-Oct-25 19:09 |
| hdf4-doc-4.2.15-r2.apk | 6152 | 2024-Oct-25 19:09 |
| hdf4-tools-4.2.15-r2.apk | 215722 | 2024-Oct-25 19:09 |
| heh-0.6.1-r0.apk | 452448 | 2024-Oct-25 19:09 |
| heh-doc-0.6.1-r0.apk | 4165 | 2024-Oct-25 19:09 |
| helvum-0.5.1-r0.apk | 314828 | 2024-Oct-25 19:09 |
| herbe-1.0.0-r0.apk | 5664 | 2024-Oct-25 19:09 |
| hex-0.6.0-r0.apk | 291480 | 2024-Oct-25 19:09 |
| hexedit-1.6_git20230905-r0.apk | 17909 | 2024-Oct-25 19:09 |
| hping3-20051105-r4.apk | 70056 | 2024-Oct-25 19:09 |
| hexedit-doc-1.6_git20230905-r0.apk | 5727 | 2024-Oct-25 19:09 |
| hidrd-0.2.0_git20190603-r1.apk | 79246 | 2024-Oct-25 19:09 |
| hidrd-dev-0.2.0_git20190603-r1.apk | 132692 | 2024-Oct-25 19:09 |
| himitsu-keyring-0.2.0-r0.apk | 13662 | 2024-Oct-25 19:09 |
| hitide-0.15.0-r0.apk | 1964235 | 2024-Oct-25 19:09 |
| hping3-doc-20051105-r4.apk | 17285 | 2024-Oct-25 19:09 |
| hitide-openrc-0.15.0-r0.apk | 2185 | 2024-Oct-25 19:09 |
| horizon-0.9.6-r9.apk | 208588 | 2024-Oct-25 19:09 |
| horizon-dbg-0.9.6-r9.apk | 4158173 | 2024-Oct-25 19:09 |
| horizon-dev-0.9.6-r9.apk | 4994 | 2024-Oct-25 19:09 |
| horizon-image-0.9.6-r9.apk | 68690 | 2024-Oct-25 19:09 |
| horizon-doc-0.9.6-r9.apk | 21963 | 2024-Oct-25 19:09 |
| horizon-tools-0.9.6-r9.apk | 83777 | 2024-Oct-25 19:09 |
| haskell-language-server-2.9.0.0-r0.apk | 79604910 | 2024-Oct-25 19:09 |
| hatop-0.8.2-r0.apk | 18384 | 2024-Oct-25 19:09 |
| hatop-doc-0.8.2-r0.apk | 3062 | 2024-Oct-25 19:09 |
| hddfancontrol-1.6.2-r0.apk | 33620 | 2024-Oct-25 19:09 |
| hddfancontrol-openrc-1.6.2-r0.apk | 2238 | 2024-Oct-25 19:09 |
| hx-doc-1.0.15-r0.apk | 4903 | 2024-Oct-25 19:09 |
| hsetroot-1.0.5-r1.apk | 11572 | 2024-Oct-25 19:09 |
| hstdb-2.1.0-r2.apk | 872584 | 2024-Oct-25 19:09 |
| htmlcxx-0.87-r1.apk | 64014 | 2024-Oct-25 19:09 |
| htmlcxx-dev-0.87-r1.apk | 21110 | 2024-Oct-25 19:09 |
| htslib-1.19-r0.apk | 398184 | 2024-Oct-25 19:09 |
| htslib-dev-1.19-r0.apk | 118073 | 2024-Oct-25 19:09 |
| htslib-doc-1.19-r0.apk | 23201 | 2024-Oct-25 19:09 |
| htslib-static-1.19-r0.apk | 508110 | 2024-Oct-25 19:09 |
| htslib-tools-1.19-r0.apk | 1307812 | 2024-Oct-25 19:09 |
| httpie-oauth-1.0.2-r9.apk | 3446 | 2024-Oct-25 19:09 |
| httpie-oauth-pyc-1.0.2-r9.apk | 2363 | 2024-Oct-25 19:09 |
| httrack-3.49.2-r5.apk | 783151 | 2024-Oct-25 19:09 |
| httrack-doc-3.49.2-r5.apk | 540623 | 2024-Oct-25 19:09 |
| hunspell-ca-es-3.0.7-r0.apk | 748801 | 2024-Oct-25 19:09 |
| hwatch-0.3.11-r0.apk | 994274 | 2024-Oct-25 19:09 |
| hwatch-doc-0.3.11-r0.apk | 3114 | 2024-Oct-25 19:09 |
| hwatch-fish-completion-0.3.11-r0.apk | 1859 | 2024-Oct-25 19:09 |
| hwatch-zsh-completion-0.3.11-r0.apk | 1971 | 2024-Oct-25 19:09 |
| hx-1.0.15-r0.apk | 15702 | 2024-Oct-25 19:09 |
| hypnotix-3.5-r0.apk | 112700 | 2024-Oct-25 19:09 |
| hypnotix-lang-3.5-r0.apk | 74144 | 2024-Oct-25 19:09 |
| hyx-2024.02.29-r0.apk | 17781 | 2024-Oct-25 19:09 |
| hyx-doc-2024.02.29-r0.apk | 2291 | 2024-Oct-25 19:09 |
| i2util-4.2.1-r1.apk | 24017 | 2024-Oct-25 19:09 |
| i2util-dev-4.2.1-r1.apk | 49567 | 2024-Oct-25 19:09 |
| i2util-doc-4.2.1-r1.apk | 4824 | 2024-Oct-25 19:09 |
| icesprog-0_git20240108-r1.apk | 9580 | 2024-Oct-25 19:09 |
| icesprog-udev-0_git20240108-r1.apk | 1938 | 2024-Oct-25 19:09 |
| icestorm-0_git20240517-r0.apk | 17301362 | 2024-Oct-25 19:09 |
| icingaweb2-module-fileshipper-1.2.0-r3.apk | 11071 | 2024-Oct-25 19:09 |
| icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 236383 | 2024-Oct-25 19:09 |
| icingaweb2-module-generictts-2.1.0-r0.apk | 6759 | 2024-Oct-25 19:09 |
| icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1863 | 2024-Oct-25 19:09 |
| icingaweb2-module-pnp-1.1.0-r1.apk | 9262 | 2024-Oct-25 19:09 |
| icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1604 | 2024-Oct-25 19:09 |
| idesk-1-r1.apk | 69802 | 2024-Oct-25 19:09 |
| igrep-1.2.0-r0.apk | 1642148 | 2024-Oct-25 19:09 |
| igrep-doc-1.2.0-r0.apk | 4255 | 2024-Oct-25 19:09 |
| imapfilter-2.8.2-r0.apk | 41871 | 2024-Oct-25 19:09 |
| imapfilter-doc-2.8.2-r0.apk | 13141 | 2024-Oct-25 19:09 |
| imediff-2.6-r1.apk | 42581 | 2024-Oct-25 19:09 |
| imediff-doc-2.6-r1.apk | 6689 | 2024-Oct-25 19:09 |
| imediff-pyc-2.6-r1.apk | 44709 | 2024-Oct-25 19:09 |
| imrsh-0_git20210320-r1.apk | 8981 | 2024-Oct-25 19:09 |
| imrsh-dbg-0_git20210320-r1.apk | 19802 | 2024-Oct-25 19:09 |
| initify-0_git20171210-r1.apk | 3356 | 2024-Oct-25 19:09 |
| innernet-1.6.1-r0.apk | 2767237 | 2024-Oct-25 19:09 |
| innernet-bash-completion-1.6.1-r0.apk | 3916 | 2024-Oct-25 19:09 |
| innernet-doc-1.6.1-r0.apk | 9247 | 2024-Oct-25 19:09 |
| innernet-fish-completion-1.6.1-r0.apk | 4639 | 2024-Oct-25 19:09 |
| innernet-openrc-1.6.1-r0.apk | 2352 | 2024-Oct-25 19:09 |
| innernet-zsh-completion-1.6.1-r0.apk | 5582 | 2024-Oct-25 19:09 |
| ip2location-8.6.1-r0.apk | 26502 | 2024-Oct-25 19:09 |
| ip2location-dev-8.6.1-r0.apk | 13361 | 2024-Oct-25 19:09 |
| ip2location-doc-8.6.1-r0.apk | 2775 | 2024-Oct-25 19:09 |
| iprange-1.0.4-r1.apk | 20414 | 2024-Oct-25 19:09 |
| iprange-doc-1.0.4-r1.apk | 4638 | 2024-Oct-25 19:09 |
| irccd-4.0.3-r0.apk | 272219 | 2024-Oct-25 19:09 |
| irccd-dev-4.0.3-r0.apk | 9853 | 2024-Oct-25 19:09 |
| irccd-doc-4.0.3-r0.apk | 82301 | 2024-Oct-25 19:09 |
| irccd-openrc-4.0.3-r0.apk | 1863 | 2024-Oct-25 19:09 |
| isoinfo-0_git20131217-r1.apk | 6951 | 2024-Oct-25 19:09 |
| it87-src-1_p20240609-r0.apk | 30406 | 2024-Oct-25 19:09 |
| jack_capture-0.9.73_git20210429-r2.apk | 34806 | 2024-Oct-25 19:09 |
| jhead-3.08-r0.apk | 34476 | 2024-Oct-25 19:09 |
| jalv-1.6.8-r1.apk | 51788 | 2024-Oct-25 19:09 |
| jalv-doc-1.6.8-r1.apk | 3252 | 2024-Oct-25 19:09 |
| jalv-gtk-1.6.8-r1.apk | 34492 | 2024-Oct-25 19:09 |
| java-asmtools-8.0.09-r0.apk | 587656 | 2024-Oct-25 19:09 |
| java-asmtools-doc-8.0.09-r0.apk | 7069 | 2024-Oct-25 19:09 |
| java-jtharness-6.0_p12-r0.apk | 4232011 | 2024-Oct-25 19:09 |
| java-jtharness-doc-6.0_p12-r0.apk | 11837 | 2024-Oct-25 19:09 |
| java-jtharness-examples-6.0_p12-r0.apk | 224127 | 2024-Oct-25 19:09 |
| jbigkit-2.1-r2.apk | 67133 | 2024-Oct-25 19:09 |
| jbigkit-dev-2.1-r2.apk | 31376 | 2024-Oct-25 19:09 |
| jbigkit-doc-2.1-r2.apk | 7515 | 2024-Oct-25 19:09 |
| jdebp-redo-1.4-r1.apk | 94082 | 2024-Oct-25 19:09 |
| jdebp-redo-doc-1.4-r1.apk | 12409 | 2024-Oct-25 19:09 |
| jdupes-1.28.0-r0.apk | 28222 | 2024-Oct-25 19:09 |
| jdupes-doc-1.28.0-r0.apk | 9206 | 2024-Oct-25 19:09 |
| jhead-doc-3.08-r0.apk | 8090 | 2024-Oct-25 19:09 |
| kabmat-2.7.0-r0.apk | 58644 | 2024-Oct-25 19:09 |
| jrsonnet-cli-0.4.2-r1.apk | 547298 | 2024-Oct-25 19:09 |
| jsmn-1.1.0-r2.apk | 4835 | 2024-Oct-25 19:09 |
| json2tsv-1.2-r0.apk | 7002 | 2024-Oct-25 19:09 |
| json2tsv-doc-1.2-r0.apk | 5372 | 2024-Oct-25 19:09 |
| json2tsv-jaq-1.2-r0.apk | 1950 | 2024-Oct-25 19:09 |
| json2tsv-jaq-doc-1.2-r0.apk | 2389 | 2024-Oct-25 19:09 |
| junit2html-31.0.2-r0.apk | 17132 | 2024-Oct-25 19:09 |
| junit2html-pyc-31.0.2-r0.apk | 24187 | 2024-Oct-25 19:09 |
| k2-0_git20220807-r1.apk | 98952 | 2024-Oct-25 19:09 |
| kabmat-doc-2.7.0-r0.apk | 3617 | 2024-Oct-25 19:09 |
| kannel-doc-1.5.0-r11.apk | 6343 | 2024-Oct-25 19:09 |
| kannel-1.5.0-r11.apk | 6507417 | 2024-Oct-25 19:09 |
| kannel-dev-1.5.0-r11.apk | 974797 | 2024-Oct-25 19:09 |
| keydb-6.3.4-r0.apk | 1117031 | 2024-Oct-25 19:09 |
| keydb-benchmark-6.3.4-r0.apk | 443405 | 2024-Oct-25 19:09 |
| keydb-cli-6.3.4-r0.apk | 377588 | 2024-Oct-25 19:09 |
| keydb-openrc-6.3.4-r0.apk | 2681 | 2024-Oct-25 19:09 |
| keystone-0.9.2-r6.apk | 1507669 | 2024-Oct-25 19:09 |
| keystone-dev-0.9.2-r6.apk | 7479 | 2024-Oct-25 19:09 |
| keystone-python-0.9.2-r6.apk | 1698979 | 2024-Oct-25 19:09 |
| keystone-python-pyc-0.9.2-r6.apk | 9906 | 2024-Oct-25 19:09 |
| kfc-0.1.4-r0.apk | 59078 | 2024-Oct-25 19:09 |
| khronos-4.0.1-r0.apk | 54423 | 2024-Oct-25 19:09 |
| khronos-lang-4.0.1-r0.apk | 26344 | 2024-Oct-25 19:09 |
| krita-plugin-gmic-3.2.4.1-r3.apk | 2847808 | 2024-Oct-25 19:09 |
| kjv-0_git20221103-r0.apk | 1615559 | 2024-Oct-25 19:09 |
| klevernotes-1.1.0-r0.apk | 2684040 | 2024-Oct-25 19:09 |
| klevernotes-lang-1.1.0-r0.apk | 145474 | 2024-Oct-25 19:09 |
| klfc-1.5.7-r0.apk | 10870959 | 2024-Oct-25 19:09 |
| klfc-doc-1.5.7-r0.apk | 410034 | 2024-Oct-25 19:09 |
| kodi-audioencoder-flac-20.2.0-r1.apk | 45011 | 2024-Oct-25 19:09 |
| kodi-audioencoder-lame-20.3.0-r1.apk | 95440 | 2024-Oct-25 19:09 |
| kodi-audioencoder-vorbis-20.2.0-r1.apk | 36413 | 2024-Oct-25 19:09 |
| kodi-audioencoder-wav-20.2.0-r1.apk | 28439 | 2024-Oct-25 19:09 |
| kodi-game-libretro-20.1.0-r0.apk | 123245 | 2024-Oct-25 19:09 |
| kodi-game-libretro-atari800-3.1.0.28-r0.apk | 246506 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 15810 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 15152 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 17139 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0...> | 21429 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 55121 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 65568 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 20192 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-supergrafx-1.29.0.39-r..> | 20343 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 16825 | 2024-Oct-25 19:09 |
| kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 17920 | 2024-Oct-25 19:09 |
| kodi-game-libretro-desmume-0.0.1.28-r0.apk | 65118 | 2024-Oct-25 19:09 |
| kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 75559 | 2024-Oct-25 19:09 |
| kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 184373 | 2024-Oct-25 19:09 |
| kodi-game-libretro-frodo-0.0.1.25-r0.apk | 164299 | 2024-Oct-25 19:09 |
| kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1394381 | 2024-Oct-25 19:09 |
| kodi-game-libretro-mgba-0.11.0.44-r0.apk | 19904 | 2024-Oct-25 19:09 |
| kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 23278 | 2024-Oct-25 19:09 |
| kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 26605 | 2024-Oct-25 19:09 |
| kondo-fish-completion-0.8-r0.apk | 2146 | 2024-Oct-25 19:09 |
| kondo-zsh-completion-0.8-r0.apk | 2514 | 2024-Oct-25 19:09 |
| kodi-game-libretro-theodore-0.0.1.32-r0.apk | 16428 | 2024-Oct-25 19:09 |
| kodi-peripheral-joystick-20.1.9-r0.apk | 222611 | 2024-Oct-25 19:09 |
| kodi-vfs-rar-20.1.0-r1.apk | 418458 | 2024-Oct-25 19:09 |
| kodi-vfs-sacd-20.1.0-r1.apk | 99729 | 2024-Oct-25 19:09 |
| kodi-vfs-sftp-20.2.0-r1.apk | 56342 | 2024-Oct-25 19:09 |
| kondo-0.8-r0.apk | 667297 | 2024-Oct-25 19:09 |
| kondo-bash-completion-0.8-r0.apk | 2151 | 2024-Oct-25 19:09 |
| kubesplit-pyc-0.3.3-r1.apk | 13270 | 2024-Oct-25 19:09 |
| kubesplit-0.3.3-r1.apk | 12975 | 2024-Oct-25 19:09 |
| ledmon-doc-1.0.0-r0.apk | 14414 | 2024-Oct-25 19:09 |
| ledmon-1.0.0-r0.apk | 83073 | 2024-Oct-25 19:09 |
| lem-2.2.0-r0.apk | 24622463 | 2024-Oct-25 19:09 |
| lem-common-2.2.0-r0.apk | 10970 | 2024-Oct-25 19:09 |
| lem-gui-2.2.0-r0.apk | 26969607 | 2024-Oct-25 19:09 |
| libmdbx-dbg-0.11.8-r0.apk | 2479226 | 2024-Oct-25 19:09 |
| levmar-dev-2.6-r0.apk | 49499 | 2024-Oct-25 19:09 |
| lfm-3.1-r4.apk | 90244 | 2024-Oct-25 19:09 |
| lfm-doc-3.1-r4.apk | 2832 | 2024-Oct-25 19:09 |
| lfm-pyc-3.1-r4.apk | 136926 | 2024-Oct-25 19:09 |
| libantlr3c-3.4-r3.apk | 50637 | 2024-Oct-25 19:09 |
| libantlr3c-dev-3.4-r3.apk | 59772 | 2024-Oct-25 19:09 |
| libaudec-0.3.4-r3.apk | 28344 | 2024-Oct-25 19:09 |
| libaudec-dev-0.3.4-r3.apk | 4381 | 2024-Oct-25 19:09 |
| libaudec-static-0.3.4-r3.apk | 31305 | 2024-Oct-25 19:09 |
| libaudec-tools-0.3.4-r3.apk | 28466 | 2024-Oct-25 19:09 |
| libb64-2.0.0.1-r0.apk | 4584 | 2024-Oct-25 19:09 |
| libb64-dev-2.0.0.1-r0.apk | 5672 | 2024-Oct-25 19:09 |
| libb64-doc-2.0.0.1-r0.apk | 8227 | 2024-Oct-25 19:09 |
| libbamf-0.5.6-r1.apk | 156312 | 2024-Oct-25 19:09 |
| libbamf-dev-0.5.6-r1.apk | 6566 | 2024-Oct-25 19:09 |
| libbamf-doc-0.5.6-r1.apk | 31823 | 2024-Oct-25 19:09 |
| libblastrampoline-5.2.0-r0.apk | 336636 | 2024-Oct-25 19:09 |
| libblastrampoline-dev-5.2.0-r0.apk | 96662 | 2024-Oct-25 19:09 |
| libbloom-2.0-r0.apk | 7864 | 2024-Oct-25 19:09 |
| libbloom-dev-2.0-r0.apk | 3560 | 2024-Oct-25 19:09 |
| libbsoncxx-3.8.0-r0.apk | 45074 | 2024-Oct-25 19:09 |
| libbsoncxx-dev-3.8.0-r0.apk | 39603 | 2024-Oct-25 19:09 |
| libcec-rpi-6.0.2-r4.apk | 188723 | 2024-Oct-25 19:09 |
| libcec-rpi-dev-6.0.2-r4.apk | 25183 | 2024-Oct-25 19:09 |
| libcli-1.10.7-r0.apk | 29692 | 2024-Oct-25 19:09 |
| libcork-0.15.0-r7.apk | 36452 | 2024-Oct-25 19:09 |
| libcork-dev-0.15.0-r7.apk | 30703 | 2024-Oct-25 19:09 |
| libcork-tools-0.15.0-r7.apk | 4965 | 2024-Oct-25 19:09 |
| libcorkipset-1.1.1-r4.apk | 14000 | 2024-Oct-25 19:09 |
| libcorkipset-dev-1.1.1-r4.apk | 8286 | 2024-Oct-25 19:09 |
| libcorkipset-tools-1.1.1-r4.apk | 16073 | 2024-Oct-25 19:09 |
| libctl-4.5.1-r1.apk | 93633 | 2024-Oct-25 19:09 |
| libctl-dev-4.5.1-r1.apk | 39478 | 2024-Oct-25 19:09 |
| libctl-doc-4.5.1-r1.apk | 3087 | 2024-Oct-25 19:09 |
| libcyaml-1.4.2-r0.apk | 20795 | 2024-Oct-25 19:09 |
| libcyaml-dev-1.4.2-r0.apk | 13163 | 2024-Oct-25 19:09 |
| libmdf-1.0.29-r0.apk | 35602 | 2024-Oct-25 19:09 |
| libcyaml-doc-1.4.2-r0.apk | 8853 | 2024-Oct-25 19:09 |
| libcyaml-static-1.4.2-r0.apk | 23770 | 2024-Oct-25 19:09 |
| libecap-1.0.1-r1.apk | 13605 | 2024-Oct-25 19:09 |
| libecap-dev-1.0.1-r1.apk | 11700 | 2024-Oct-25 19:09 |
| libecap-static-1.0.1-r1.apk | 17936 | 2024-Oct-25 19:09 |
| liberasurecode-1.6.3-r1.apk | 43625 | 2024-Oct-25 19:09 |
| liberasurecode-dev-1.6.3-r1.apk | 18705 | 2024-Oct-25 19:09 |
| libettercap-0.8.3.1-r3.apk | 201358 | 2024-Oct-25 19:09 |
| libfishsound-1.0.0-r1.apk | 9747 | 2024-Oct-25 19:09 |
| libfishsound-dev-1.0.0-r1.apk | 59339 | 2024-Oct-25 19:09 |
| libfishsound-doc-1.0.0-r1.apk | 77124 | 2024-Oct-25 19:09 |
| libfoma-0.10.0_git20240712-r0.apk | 105048 | 2024-Oct-25 19:09 |
| libfort-0.4.2-r0.apk | 32295 | 2024-Oct-25 19:09 |
| libfort-dev-0.4.2-r0.apk | 17845 | 2024-Oct-25 19:09 |
| libgivaro-4.2.0-r2.apk | 77513 | 2024-Oct-25 19:09 |
| libgivaro-dev-4.2.0-r2.apk | 250309 | 2024-Oct-25 19:09 |
| libgivaro-static-4.2.0-r2.apk | 98295 | 2024-Oct-25 19:09 |
| libhomfly-1.02_p6-r1.apk | 15671 | 2024-Oct-25 19:09 |
| libhomfly-dev-1.02_p6-r1.apk | 19378 | 2024-Oct-25 19:09 |
| libhwpwm-0.4.4-r0.apk | 5774 | 2024-Oct-25 19:09 |
| libhwpwm-dev-0.4.4-r0.apk | 5814 | 2024-Oct-25 19:09 |
| libhwpwm-doc-0.4.4-r0.apk | 13358 | 2024-Oct-25 19:09 |
| libiio-0.25-r2.apk | 54333 | 2024-Oct-25 19:09 |
| libiio-dev-0.25-r2.apk | 13671 | 2024-Oct-25 19:09 |
| libiio-doc-0.25-r2.apk | 18288 | 2024-Oct-25 19:09 |
| libiio-pyc-0.25-r2.apk | 21455 | 2024-Oct-25 19:09 |
| libiio-tools-0.25-r2.apk | 99433 | 2024-Oct-25 19:09 |
| libiml-1.0.5-r3.apk | 68314 | 2024-Oct-25 19:09 |
| libiml-dev-1.0.5-r3.apk | 4038 | 2024-Oct-25 19:09 |
| libiml-static-1.0.5-r3.apk | 72656 | 2024-Oct-25 19:09 |
| libiscsi-1.19.0-r2.apk | 60580 | 2024-Oct-25 19:09 |
| libmdf-dev-1.0.29-r0.apk | 14147 | 2024-Oct-25 19:09 |
| libiscsi-dev-1.19.0-r2.apk | 20896 | 2024-Oct-25 19:09 |
| libiscsi-doc-1.19.0-r2.apk | 9501 | 2024-Oct-25 19:09 |
| libiscsi-static-1.19.0-r2.apk | 75313 | 2024-Oct-25 19:09 |
| libiscsi-utils-1.19.0-r2.apk | 93261 | 2024-Oct-25 19:09 |
| libjodycode-3.1.1-r0.apk | 8303 | 2024-Oct-25 19:09 |
| libjodycode-dev-3.1.1-r0.apk | 4314 | 2024-Oct-25 19:09 |
| libjodycode-doc-3.1.1-r0.apk | 3751 | 2024-Oct-25 19:09 |
| liblastfm-qt-1.1.10_git20190823-r3.apk | 163888 | 2024-Oct-25 19:09 |
| liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 20088 | 2024-Oct-25 19:09 |
| libmdbx-doc-0.11.8-r0.apk | 9062 | 2024-Oct-25 19:09 |
| libmdbx-0.11.8-r0.apk | 700029 | 2024-Oct-25 19:09 |
| libmdbx-dev-0.11.8-r0.apk | 95429 | 2024-Oct-25 19:09 |
| libretro-bluemsx-0_git20240808-r0.apk | 648883 | 2024-Oct-25 19:09 |
| libmhash-0.9.9.9-r3.apk | 98618 | 2024-Oct-25 19:09 |
| libmhash-dev-0.9.9.9-r3.apk | 111399 | 2024-Oct-25 19:09 |
| libmhash-doc-0.9.9.9-r3.apk | 8343 | 2024-Oct-25 19:09 |
| libmpfi-1.5.4-r2.apk | 36986 | 2024-Oct-25 19:09 |
| libmpfi-dev-1.5.4-r2.apk | 5510 | 2024-Oct-25 19:09 |
| libmpfi-doc-1.5.4-r2.apk | 19191 | 2024-Oct-25 19:09 |
| libmpfi-static-1.5.4-r2.apk | 54329 | 2024-Oct-25 19:09 |
| libmrss-0.19.2-r1.apk | 20108 | 2024-Oct-25 19:09 |
| libmrss-dev-0.19.2-r1.apk | 31175 | 2024-Oct-25 19:09 |
| libmustache-0.5.0-r1.apk | 83387 | 2024-Oct-25 19:09 |
| libmygpo-qt-1.1.0-r2.apk | 85680 | 2024-Oct-25 19:09 |
| libmygpo-qt-dev-1.1.0-r2.apk | 12141 | 2024-Oct-25 19:09 |
| libmysofa-1.3.2-r0.apk | 26076 | 2024-Oct-25 19:09 |
| libmysofa-dev-1.3.2-r0.apk | 7182 | 2024-Oct-25 19:09 |
| libmysofa-tools-1.3.2-r0.apk | 1122248 | 2024-Oct-25 19:09 |
| libneo4j-client-2.2.0-r3.apk | 71206 | 2024-Oct-25 19:09 |
| libneo4j-client-dev-2.2.0-r3.apk | 120160 | 2024-Oct-25 19:09 |
| libnih-1.0.3-r7.apk | 113033 | 2024-Oct-25 19:09 |
| libnih-dev-1.0.3-r7.apk | 124187 | 2024-Oct-25 19:09 |
| libnih-doc-1.0.3-r7.apk | 2770 | 2024-Oct-25 19:09 |
| libnxml-0.18.3-r0.apk | 20395 | 2024-Oct-25 19:09 |
| libnxml-dev-0.18.3-r0.apk | 29289 | 2024-Oct-25 19:09 |
| libofx-0.10.9-r1.apk | 64518 | 2024-Oct-25 19:09 |
| libofx-dev-0.10.9-r1.apk | 20052 | 2024-Oct-25 19:09 |
| libofx-tools-0.10.9-r1.apk | 107072 | 2024-Oct-25 19:09 |
| liboggz-1.1.1-r2.apk | 121591 | 2024-Oct-25 19:09 |
| liboggz-dev-1.1.1-r2.apk | 177257 | 2024-Oct-25 19:09 |
| liboggz-doc-1.1.1-r2.apk | 137449 | 2024-Oct-25 19:09 |
| libreoffice-voikko-5.0_git20200127-r0.apk | 46459 | 2024-Oct-25 19:09 |
| libretro-atari800-0_git20240924-r0.apk | 274625 | 2024-Oct-25 19:09 |
| libretro-beetle-pce-fast-0_git20220205-r0.apk | 455541 | 2024-Oct-25 19:09 |
| libretro-beetle-pcfx-0_git20220409-r0.apk | 322817 | 2024-Oct-25 19:09 |
| libretro-beetle-saturn-0_git20220417-r0.apk | 1512246 | 2024-Oct-25 19:09 |
| libretro-beetle-supergrafx-0_git20220218-r0.apk | 460325 | 2024-Oct-25 19:09 |
| libretro-cannonball-0_git20220309-r6.apk | 244035 | 2024-Oct-25 19:09 |
| libretro-cap32-0_git20220419-r0.apk | 306457 | 2024-Oct-25 19:09 |
| libretro-crocods-0_git20210314-r1.apk | 285163 | 2024-Oct-25 19:09 |
| libretro-daphne-0_git20210108-r2.apk | 546314 | 2024-Oct-25 19:09 |
| libretro-dinothawr-0_git20220401-r0.apk | 128776 | 2024-Oct-25 19:09 |
| libretro-dolphin-0_git20220407-r2.apk | 3265907 | 2024-Oct-25 19:09 |
| libretro-fbneo-0_git20220416-r0.apk | 11801936 | 2024-Oct-25 19:09 |
| libretro-freeintv-0_git20220319-r0.apk | 36005 | 2024-Oct-25 19:09 |
| libretro-frodo-0_git20221221-r0.apk | 165719 | 2024-Oct-25 19:09 |
| libretro-fuse-0_git20220417-r0.apk | 911694 | 2024-Oct-25 19:09 |
| libretro-genesis-plus-gx-0_git20230503-r0.apk | 1072999 | 2024-Oct-25 19:09 |
| libretro-gme-0_git20240628-r0.apk | 190050 | 2024-Oct-25 19:09 |
| libretro-gong-0_git20220319-r0.apk | 8250 | 2024-Oct-25 19:09 |
| libretro-gw-0_git20220410-r0.apk | 191325 | 2024-Oct-25 19:09 |
| libretro-mame2003-0_git20240904-r0.apk | 6772493 | 2024-Oct-25 19:09 |
| libretro-mu-0_git20220317-r0.apk | 172088 | 2024-Oct-25 19:09 |
| libretro-neocd-0_git20220325-r1.apk | 434862 | 2024-Oct-25 19:09 |
| libretro-nxengine-0_git20220301-r0.apk | 305021 | 2024-Oct-25 19:09 |
| libretro-openlara-0_git20210121-r0.apk | 513579 | 2024-Oct-25 19:09 |
| libretro-opera-0_git20211214-r0.apk | 175789 | 2024-Oct-25 19:09 |
| libretro-parallel-n64-0_git20220406-r0.apk | 892983 | 2024-Oct-25 19:09 |
| libretro-pcsx-rearmed-0_git20220409-r0.apk | 502076 | 2024-Oct-25 19:09 |
| libretro-picodrive-0_git20220405-r0.apk | 558278 | 2024-Oct-25 19:09 |
| libretro-pocketcdg-0_git20220327-r0.apk | 88455 | 2024-Oct-25 19:09 |
| libretro-scummvm-0_git20210325-r0.apk | 20583260 | 2024-Oct-25 19:09 |
| libretro-snes9x-0_git20240819-r0.apk | 654061 | 2024-Oct-25 19:09 |
| libretro-theodore-3.1-r0.apk | 893460 | 2024-Oct-25 19:09 |
| libretro-tyrquake-0_git20220409-r0.apk | 404336 | 2024-Oct-25 19:09 |
| libretro-xrick-0_git20220331-r0.apk | 122000 | 2024-Oct-25 19:09 |
| libsbsms-2.3.0-r0.apk | 105121 | 2024-Oct-25 19:09 |
| libsbsms-dev-2.3.0-r0.apk | 127139 | 2024-Oct-25 19:09 |
| libsds-2.0.0-r1.apk | 9832 | 2024-Oct-25 19:09 |
| libsds-dev-2.0.0-r1.apk | 3866 | 2024-Oct-25 19:09 |
| libsemanage-3.6-r1.apk | 94944 | 2024-Oct-25 19:09 |
| libsemanage-dev-3.6-r1.apk | 153220 | 2024-Oct-25 19:09 |
| libsemanage-doc-3.6-r1.apk | 23464 | 2024-Oct-25 19:09 |
| libserialport-0.1.1-r1.apk | 19312 | 2024-Oct-25 19:09 |
| libserialport-dev-0.1.1-r1.apk | 39945 | 2024-Oct-25 19:09 |
| libshadowsocks-libev-3.3.5-r4.apk | 50315 | 2024-Oct-25 19:09 |
| libsigrok-0.5.2-r3.apk | 492749 | 2024-Oct-25 19:09 |
| libsigrok-dev-0.5.2-r3.apk | 31408 | 2024-Oct-25 19:09 |
| libsigrokdecode-0.5.3-r4.apk | 343601 | 2024-Oct-25 19:09 |
| libsigrokdecode-dev-0.5.3-r4.apk | 40083 | 2024-Oct-25 19:09 |
| libsymmetrica-3.0.1-r2.apk | 3834751 | 2024-Oct-25 19:09 |
| libsymmetrica-dev-3.0.1-r2.apk | 32828 | 2024-Oct-25 19:09 |
| linphone-libs-5.3.38-r0.apk | 2920666 | 2024-Oct-25 19:09 |
| libsymmetrica-static-3.0.1-r2.apk | 4897687 | 2024-Oct-25 19:09 |
| libtcmu-1.6.0-r6.apk | 38673 | 2024-Oct-25 19:09 |
| libtcmu-dev-1.6.0-r6.apk | 1547 | 2024-Oct-25 19:09 |
| libucl-0.9.0-r0.apk | 55195 | 2024-Oct-25 19:09 |
| libucl-dev-0.9.0-r0.apk | 84669 | 2024-Oct-25 19:09 |
| libucl-doc-0.9.0-r0.apk | 9072 | 2024-Oct-25 19:09 |
| libuninameslist-20230916-r0.apk | 576025 | 2024-Oct-25 19:09 |
| libuninameslist-dev-20230916-r0.apk | 3546 | 2024-Oct-25 19:09 |
| libuninameslist-doc-20230916-r0.apk | 2089 | 2024-Oct-25 19:09 |
| libupstart-2.0.3-r5.apk | 53528 | 2024-Oct-25 19:09 |
| libvdpau-va-gl-0.4.2-r0.apk | 57111 | 2024-Oct-25 19:09 |
| libvisio2svg-0.5.5-r3.apk | 16082 | 2024-Oct-25 19:09 |
| libvisio2svg-dev-0.5.5-r3.apk | 2992 | 2024-Oct-25 19:09 |
| libvisio2svg-utils-0.5.5-r3.apk | 119989 | 2024-Oct-25 19:09 |
| libvmaf-3.0.0-r0.apk | 342091 | 2024-Oct-25 19:09 |
| libvmaf-dev-3.0.0-r0.apk | 202053 | 2024-Oct-25 19:09 |
| libvoikko-4.3.2-r1.apk | 132952 | 2024-Oct-25 19:09 |
| libvoikko-dev-4.3.2-r1.apk | 10146 | 2024-Oct-25 19:09 |
| libvoikko-doc-4.3.2-r1.apk | 5862 | 2024-Oct-25 19:09 |
| libwbxml-0.11.8-r0.apk | 75545 | 2024-Oct-25 19:09 |
| libwbxml-dev-0.11.8-r0.apk | 9174 | 2024-Oct-25 19:09 |
| libwbxml-doc-0.11.8-r0.apk | 28946 | 2024-Oct-25 19:09 |
| libwhich-1.2.0-r0.apk | 4578 | 2024-Oct-25 19:09 |
| libzn_poly-0.9.2-r2.apk | 51090 | 2024-Oct-25 19:09 |
| libzn_poly-dev-0.9.2-r2.apk | 8364 | 2024-Oct-25 19:09 |
| libzn_poly-static-0.9.2-r2.apk | 55154 | 2024-Oct-25 19:09 |
| licenseheaders-0.8.8-r4.apk | 18318 | 2024-Oct-25 19:09 |
| licenseheaders-pyc-0.8.8-r4.apk | 18852 | 2024-Oct-25 19:09 |
| limkd-0.1.2-r0.apk | 55706 | 2024-Oct-25 19:09 |
| limkd-doc-0.1.2-r0.apk | 3033 | 2024-Oct-25 19:09 |
| limnoria-20240828-r0.apk | 1130321 | 2024-Oct-25 19:09 |
| limnoria-doc-20240828-r0.apk | 8603 | 2024-Oct-25 19:09 |
| limnoria-pyc-20240828-r0.apk | 1274959 | 2024-Oct-25 19:09 |
| linphone-5.3.38-r0.apk | 9454558 | 2024-Oct-25 19:09 |
| linphone-dev-5.3.38-r0.apk | 255711 | 2024-Oct-25 19:09 |
| linux-apfs-rw-src-0.3.8-r0.apk | 201795 | 2024-Oct-25 19:09 |
| linux-openvfd-0_git20220906-r0.apk | 9227 | 2024-Oct-25 19:09 |
| linux-gru-6.11.0-r0.apk | 27300397 | 2024-Oct-25 19:09 |
| linux-timemachine-1.3.2-r0.apk | 5175 | 2024-Oct-25 19:09 |
| log4cpp-dev-1.1.4-r1.apk | 39819 | 2024-Oct-25 19:09 |
| liquibase-4.9.1-r0.apk | 33161791 | 2024-Oct-25 19:09 |
| liquibase-doc-4.9.1-r0.apk | 58253 | 2024-Oct-25 19:09 |
| liquid-dsp-1.5.0-r0.apk | 353917 | 2024-Oct-25 19:09 |
| liquid-dsp-dev-1.5.0-r0.apk | 531002 | 2024-Oct-25 19:09 |
| llmnrd-0.7-r1.apk | 18780 | 2024-Oct-25 19:09 |
| llmnrd-doc-0.7-r1.apk | 3108 | 2024-Oct-25 19:09 |
| llmnrd-openrc-0.7-r1.apk | 1930 | 2024-Oct-25 19:09 |
| lockrun-1.1.3-r1.apk | 5640 | 2024-Oct-25 19:09 |
| log4cpp-1.1.4-r1.apk | 70661 | 2024-Oct-25 19:09 |
| logc-czmq-0.1.0-r0.apk | 4374 | 2024-Oct-25 19:09 |
| logc-libevent-0.1.0-r0.apk | 3657 | 2024-Oct-25 19:09 |
| logc-libs-0.1.0-r0.apk | 1487 | 2024-Oct-25 19:09 |
| logc-libs-dev-0.1.0-r0.apk | 5802 | 2024-Oct-25 19:09 |
| lol-html-1.1.1-r1.apk | 434797 | 2024-Oct-25 19:09 |
| lol-html-dev-1.1.1-r1.apk | 6671 | 2024-Oct-25 19:09 |
| lolcat-1.4-r0.apk | 10822 | 2024-Oct-25 19:09 |
| lotide-0.15.0-r0.apk | 3504975 | 2024-Oct-25 19:09 |
| lotide-openrc-0.15.0-r0.apk | 3194 | 2024-Oct-25 19:09 |
| lout-3.42.2-r0.apk | 1458803 | 2024-Oct-25 19:09 |
| lout-doc-3.42.2-r0.apk | 463392 | 2024-Oct-25 19:09 |
| lowjs-1.6.2-r2.apk | 1404250 | 2024-Oct-25 19:09 |
| lowjs-doc-1.6.2-r2.apk | 3042 | 2024-Oct-25 19:09 |
| lrcalc-2.1-r1.apk | 13217 | 2024-Oct-25 19:09 |
| lrcalc-dev-2.1-r1.apk | 11608 | 2024-Oct-25 19:09 |
| lrcalc-libs-2.1-r1.apk | 27075 | 2024-Oct-25 19:09 |
| lsdvd-0.17-r0.apk | 13921 | 2024-Oct-25 19:09 |
| lsdvd-doc-0.17-r0.apk | 2526 | 2024-Oct-25 19:09 |
| lsix-1.8.2-r0.apk | 6665 | 2024-Oct-25 19:09 |
| lsmash-2.14.5-r2.apk | 286179 | 2024-Oct-25 19:09 |
| lsmash-dev-2.14.5-r2.apk | 374403 | 2024-Oct-25 19:09 |
| lua-editorconfig-0.3.0-r0.apk | 1225 | 2024-Oct-25 19:09 |
| lua-fn-0.1.0-r0.apk | 3460 | 2024-Oct-25 19:09 |
| lua-inet-0.2.0-r1.apk | 9345 | 2024-Oct-25 19:09 |
| lua-lanes-3.16.0-r1.apk | 1479 | 2024-Oct-25 19:09 |
| lua-lcurses-9.0.0-r0.apk | 1170 | 2024-Oct-25 19:09 |
| lua-libmodbus-0.6.1-r0.apk | 1204 | 2024-Oct-25 19:09 |
| lua-libmodbus-doc-0.6.1-r0.apk | 19560 | 2024-Oct-25 19:09 |
| lua-linenoise-0.9-r1.apk | 1200 | 2024-Oct-25 19:09 |
| lua-luastatic-0.0.12-r1.apk | 1497 | 2024-Oct-25 19:09 |
| lua-lupa-1.0-r0.apk | 20039 | 2024-Oct-25 19:09 |
| lua-lut-1.2.1-r0.apk | 89175 | 2024-Oct-25 19:09 |
| lua-psl-0.3-r0.apk | 1129 | 2024-Oct-25 19:09 |
| lua-resty-redis-0.29-r0.apk | 5450 | 2024-Oct-25 19:09 |
| lua-resty-upload-0.11-r0.apk | 3699 | 2024-Oct-25 19:09 |
| lua-xml-1.1.3-r2.apk | 1464 | 2024-Oct-25 19:09 |
| lua5.1-lanes-3.16.0-r1.apk | 56483 | 2024-Oct-25 19:09 |
| lua5.1-lcurses-9.0.0-r0.apk | 23143 | 2024-Oct-25 19:09 |
| lua5.1-libmodbus-0.6.1-r0.apk | 10091 | 2024-Oct-25 19:09 |
| lua5.1-linenoise-0.9-r1.apk | 17991 | 2024-Oct-25 19:09 |
| lua5.1-luacov-0.15.0-r0.apk | 23854 | 2024-Oct-25 19:09 |
| lua5.1-luacov-html-1.0.0-r1.apk | 422630 | 2024-Oct-25 19:09 |
| lua5.1-luastatic-0.0.12-r1.apk | 80511 | 2024-Oct-25 19:09 |
| lua5.1-psl-0.3-r0.apk | 6238 | 2024-Oct-25 19:09 |
| lua5.1-xml-1.1.3-r2.apk | 23660 | 2024-Oct-25 19:09 |
| lua5.2-editorconfig-0.3.0-r0.apk | 4432 | 2024-Oct-25 19:09 |
| lua5.2-lanes-3.16.0-r1.apk | 56323 | 2024-Oct-25 19:09 |
| lua5.2-libmodbus-0.6.1-r0.apk | 10080 | 2024-Oct-25 19:09 |
| lua5.2-linenoise-0.9-r1.apk | 18018 | 2024-Oct-25 19:09 |
| lua5.2-luacov-0.15.0-r0.apk | 23841 | 2024-Oct-25 19:09 |
| lua5.2-luacov-html-1.0.0-r1.apk | 422658 | 2024-Oct-25 19:09 |
| lua5.2-luastatic-0.0.12-r1.apk | 9201 | 2024-Oct-25 19:09 |
| lua5.2-psl-0.3-r0.apk | 6131 | 2024-Oct-25 19:09 |
| lua5.2-xml-1.1.3-r2.apk | 23551 | 2024-Oct-25 19:09 |
| lua5.3-editorconfig-0.3.0-r0.apk | 4465 | 2024-Oct-25 19:09 |
| lua5.3-lanes-3.16.0-r1.apk | 56710 | 2024-Oct-25 19:09 |
| lua5.3-linenoise-0.9-r1.apk | 18022 | 2024-Oct-25 19:09 |
| lua5.3-luacov-0.15.0-r0.apk | 23849 | 2024-Oct-25 19:09 |
| lua5.3-luacov-html-1.0.0-r1.apk | 422667 | 2024-Oct-25 19:09 |
| lua5.3-luastatic-0.0.12-r1.apk | 9217 | 2024-Oct-25 19:09 |
| lua5.3-psl-0.3-r0.apk | 6134 | 2024-Oct-25 19:09 |
| lua5.4-editorconfig-0.3.0-r0.apk | 4459 | 2024-Oct-25 19:09 |
| lua5.4-lanes-3.16.0-r1.apk | 56482 | 2024-Oct-25 19:09 |
| lua5.4-linenoise-0.9-r1.apk | 18005 | 2024-Oct-25 19:09 |
| lua5.4-luacov-0.15.0-r0.apk | 23851 | 2024-Oct-25 19:09 |
| lua5.4-luastatic-0.0.12-r1.apk | 9291 | 2024-Oct-25 19:09 |
| luacov-0.15.0-r0.apk | 1484 | 2024-Oct-25 19:09 |
| luacov-html-1.0.0-r1.apk | 1243 | 2024-Oct-25 19:09 |
| luapak-0.1.0_beta5-r0.apk | 36023 | 2024-Oct-25 19:09 |
| luksmeta-9-r0.apk | 13369 | 2024-Oct-25 19:09 |
| luksmeta-dev-9-r0.apk | 3166 | 2024-Oct-25 19:09 |
| luksmeta-doc-9-r0.apk | 5611 | 2024-Oct-25 19:09 |
| lumina-desktop-1.6.2-r0.apk | 1266 | 2024-Oct-25 19:09 |
| lumina-desktop-archiver-1.6.2-r0.apk | 162963 | 2024-Oct-25 19:09 |
| lzfse-dev-1.0-r0.apk | 3499 | 2024-Oct-25 19:09 |
| m2r2-pyc-0.3.3-r3.apk | 15976 | 2024-Oct-25 19:09 |
| lumina-desktop-coreutils-1.6.2-r0.apk | 812897 | 2024-Oct-25 19:09 |
| lumina-desktop-doc-1.6.2-r0.apk | 11777 | 2024-Oct-25 19:09 |
| lumina-desktop-fileinfo-1.6.2-r0.apk | 156651 | 2024-Oct-25 19:09 |
| lumina-desktop-fm-1.6.2-r0.apk | 388767 | 2024-Oct-25 19:09 |
| lumina-desktop-mediaplayer-1.6.2-r0.apk | 196957 | 2024-Oct-25 19:09 |
| lumina-desktop-photo-1.6.2-r0.apk | 123074 | 2024-Oct-25 19:09 |
| lumina-desktop-core-1.6.2-r0.apk | 9308439 | 2024-Oct-25 19:09 |
| lumina-desktop-screenshot-1.6.2-r0.apk | 163499 | 2024-Oct-25 19:09 |
| lumina-desktop-sudo-1.6.2-r0.apk | 94151 | 2024-Oct-25 19:09 |
| lumina-desktop-textedit-1.6.2-r0.apk | 189728 | 2024-Oct-25 19:09 |
| lumins-0.4.0-r2.apk | 655433 | 2024-Oct-25 19:09 |
| lxappearance-0.6.3-r3.apk | 30479 | 2024-Oct-25 19:09 |
| lxappearance-dev-0.6.3-r3.apk | 3310 | 2024-Oct-25 19:09 |
| lxappearance-doc-0.6.3-r3.apk | 2675 | 2024-Oct-25 19:09 |
| lxappearance-lang-0.6.3-r3.apk | 82428 | 2024-Oct-25 19:09 |
| lzfse-1.0-r0.apk | 21252 | 2024-Oct-25 19:09 |
| m2r2-0.3.3-r3.apk | 12800 | 2024-Oct-25 19:09 |
| mailctl-zsh-completion-0.9.2-r0.apk | 2001 | 2024-Oct-25 19:09 |
| makeself-2.5.0-r0.apk | 13309 | 2024-Oct-25 19:09 |
| ma1sd-2.5.0-r3.apk | 39970131 | 2024-Oct-25 19:09 |
| ma1sd-openrc-2.5.0-r3.apk | 2001 | 2024-Oct-25 19:09 |
| mailctl-0.9.2-r0.apk | 7790805 | 2024-Oct-25 19:09 |
| mailctl-bash-completion-0.9.2-r0.apk | 1825 | 2024-Oct-25 19:09 |
| mailctl-doc-0.9.2-r0.apk | 5171 | 2024-Oct-25 19:09 |
| mailctl-fish-completion-0.9.2-r0.apk | 1916 | 2024-Oct-25 19:09 |
| mame-0.251-r0.apk | 95444845 | 2024-Oct-25 19:09 |
| mame-mess-0.251-r0.apk | 51240694 | 2024-Oct-25 19:10 |
| mame-tools-0.251-r0.apk | 2668534 | 2024-Oct-25 19:10 |
| mame-arcade-0.251-r0.apk | 66930158 | 2024-Oct-25 19:10 |
| mame-common-0.251-r0.apk | 2721 | 2024-Oct-25 19:10 |
| mame-data-0.251-r0.apk | 20049128 | 2024-Oct-25 19:10 |
| mame-doc-0.251-r0.apk | 24638 | 2024-Oct-25 19:10 |
| mame-lang-0.251-r0.apk | 1495444 | 2024-Oct-25 19:10 |
| mame-plugins-0.251-r0.apk | 170320 | 2024-Oct-25 19:10 |
| materia-light-kde-kvantum-20220823-r0.apk | 30308 | 2024-Oct-25 19:10 |
| mangr0ve-0.1.2-r0.apk | 2873 | 2024-Oct-25 19:10 |
| mangr0ve-doc-0.1.2-r0.apk | 14766 | 2024-Oct-25 19:10 |
| marxan-4.0.7-r1.apk | 549600 | 2024-Oct-25 19:10 |
| materia-dark-compact-kde-kvantum-20220823-r0.apk | 1502 | 2024-Oct-25 19:10 |
| materia-dark-kde-konsole-20220823-r0.apk | 1890 | 2024-Oct-25 19:10 |
| materia-dark-kde-kvantum-20220823-r0.apk | 30713 | 2024-Oct-25 19:10 |
| materia-dark-kde-plasma-20220823-r0.apk | 515004 | 2024-Oct-25 19:10 |
| materia-dark-kde-yakuake-20220823-r0.apk | 22248 | 2024-Oct-25 19:10 |
| materia-kde-20220823-r0.apk | 19799 | 2024-Oct-25 19:10 |
| materia-kde-konsole-20220823-r0.apk | 1872 | 2024-Oct-25 19:10 |
| materia-kde-kvantum-20220823-r0.apk | 30670 | 2024-Oct-25 19:10 |
| materia-kde-plasma-20220823-r0.apk | 1782939 | 2024-Oct-25 19:10 |
| materia-light-compact-kde-kvantum-20220823-r0.apk | 1505 | 2024-Oct-25 19:10 |
| materia-light-kde-plasma-20220823-r0.apk | 20590 | 2024-Oct-25 19:10 |
| materia-light-kde-yakuake-20220823-r0.apk | 22010 | 2024-Oct-25 19:10 |
| menumaker-0.99.14-r1.apk | 113687 | 2024-Oct-25 19:10 |
| mergerfs-doc-2.40.2-r1.apk | 42828 | 2024-Oct-25 19:10 |
| metalang99-1.13.3-r0.apk | 55597 | 2024-Oct-25 19:10 |
| mcjoin-2.11-r0.apk | 24425 | 2024-Oct-25 19:10 |
| mcjoin-doc-2.11-r0.apk | 55018 | 2024-Oct-25 19:10 |
| mcqd-1.0.0-r1.apk | 13063 | 2024-Oct-25 19:10 |
| mcqd-dev-1.0.0-r1.apk | 4143 | 2024-Oct-25 19:10 |
| md5ha1-0_git20171202-r1.apk | 9223 | 2024-Oct-25 19:10 |
| mdbook-plantuml-0.8.0-r0.apk | 906504 | 2024-Oct-25 19:10 |
| mdnsd-0.12-r1.apk | 24249 | 2024-Oct-25 19:10 |
| mdnsd-doc-0.12-r1.apk | 14702 | 2024-Oct-25 19:10 |
| mdnsd-libs-0.12-r1.apk | 18726 | 2024-Oct-25 19:10 |
| mdnsd-openrc-0.12-r1.apk | 2186 | 2024-Oct-25 19:10 |
| mdnsd-static-0.12-r1.apk | 19254 | 2024-Oct-25 19:10 |
| mediastreamer2-plugin-x264-20200722-r6.apk | 8897 | 2024-Oct-25 19:10 |
| mbrola-3.3-r0.apk | 22321 | 2024-Oct-25 19:10 |
| memdump-1.01-r1.apk | 5924 | 2024-Oct-25 19:10 |
| memdump-doc-1.01-r1.apk | 3184 | 2024-Oct-25 19:10 |
| mergerfs-2.40.2-r1.apk | 288689 | 2024-Oct-25 19:10 |
| minisatip-1.3.4-r0.apk | 319929 | 2024-Oct-25 19:10 |
| minimodem-doc-0.24-r1.apk | 5321 | 2024-Oct-25 19:10 |
| minisatip-openrc-1.3.4-r0.apk | 1945 | 2024-Oct-25 19:10 |
| milkytracker-1.04.00-r2.apk | 1020389 | 2024-Oct-25 19:10 |
| milkytracker-doc-1.04.00-r2.apk | 51628 | 2024-Oct-25 19:10 |
| mimeo-2023-r2.apk | 28826 | 2024-Oct-25 19:10 |
| mimeo-pyc-2023-r2.apk | 42548 | 2024-Oct-25 19:10 |
| minidyndns-1.3.0-r3.apk | 11919 | 2024-Oct-25 19:10 |
| minidyndns-doc-1.3.0-r3.apk | 5227 | 2024-Oct-25 19:10 |
| minidyndns-openrc-1.3.0-r3.apk | 1887 | 2024-Oct-25 19:10 |
| minimodem-0.24-r1.apk | 20740 | 2024-Oct-25 19:10 |
| mkdocs-cluster-pyc-0.0.9-r5.apk | 1857 | 2024-Oct-25 19:10 |
| mkdocs-bootstrap-1.1.1-r2.apk | 29208 | 2024-Oct-25 19:10 |
| mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1851 | 2024-Oct-25 19:10 |
| mkdocs-bootstrap386-0.0.2-r5.apk | 810240 | 2024-Oct-25 19:10 |
| mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1857 | 2024-Oct-25 19:10 |
| mkdocs-bootstrap4-0.1.5-r5.apk | 266293 | 2024-Oct-25 19:10 |
| mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1855 | 2024-Oct-25 19:10 |
| mkdocs-bootswatch-1.1-r5.apk | 551321 | 2024-Oct-25 19:10 |
| mkdocs-bootswatch-pyc-1.1-r5.apk | 4834 | 2024-Oct-25 19:10 |
| mkdocs-cinder-1.2.0-r5.apk | 254600 | 2024-Oct-25 19:10 |
| mkdocs-cinder-pyc-1.2.0-r5.apk | 1836 | 2024-Oct-25 19:10 |
| mkdocs-cluster-0.0.9-r5.apk | 666763 | 2024-Oct-25 19:10 |
| mkdocs-gitbook-0.0.1-r5.apk | 659593 | 2024-Oct-25 19:10 |
| mkdocs-gitbook-pyc-0.0.1-r5.apk | 1857 | 2024-Oct-25 19:10 |
| mkdocs-ivory-0.4.6-r5.apk | 11194 | 2024-Oct-25 19:10 |
| mkdocs-ivory-pyc-0.4.6-r5.apk | 1847 | 2024-Oct-25 19:10 |
| mkdocs-rtd-dropdown-1.0.2-r5.apk | 254335 | 2024-Oct-25 19:10 |
| mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1857 | 2024-Oct-25 19:10 |
| mkdocs-windmill-1.0.5-r4.apk | 966721 | 2024-Oct-25 19:10 |
| mkdocs-windmill-pyc-1.0.5-r4.apk | 1853 | 2024-Oct-25 19:10 |
| mkg3a-0.5.0-r1.apk | 17391 | 2024-Oct-25 19:10 |
| mkg3a-doc-0.5.0-r1.apk | 3131 | 2024-Oct-25 19:10 |
| mktorrent-borg-0.9.9-r1.apk | 9577 | 2024-Oct-25 19:10 |
| mktorrent-borg-doc-0.9.9-r1.apk | 2550 | 2024-Oct-25 19:10 |
| mlxl-0.1-r0.apk | 6368 | 2024-Oct-25 19:10 |
| mm-1.4.2-r1.apk | 7206 | 2024-Oct-25 19:10 |
| mm-dev-1.4.2-r1.apk | 11660 | 2024-Oct-25 19:10 |
| mm-doc-1.4.2-r1.apk | 14681 | 2024-Oct-25 19:10 |
| mmix-0_git20221025-r0.apk | 150096 | 2024-Oct-25 19:10 |
| mnamer-2.5.5-r1.apk | 32472 | 2024-Oct-25 19:10 |
| mnamer-pyc-2.5.5-r1.apk | 61931 | 2024-Oct-25 19:10 |
| mnemosyne-2.10.1-r1.apk | 621669 | 2024-Oct-25 19:10 |
| mnemosyne-lang-2.10.1-r1.apk | 449128 | 2024-Oct-25 19:10 |
| mnemosyne-pyc-2.10.1-r1.apk | 642912 | 2024-Oct-25 19:10 |
| mobpass-0.2-r6.apk | 18469 | 2024-Oct-25 19:10 |
| mobpass-pyc-0.2-r6.apk | 5368 | 2024-Oct-25 19:10 |
| modem-manager-gui-0.0.20-r0.apk | 340418 | 2024-Oct-25 19:10 |
| modem-manager-gui-doc-0.0.20-r0.apk | 4130238 | 2024-Oct-25 19:10 |
| modem-manager-gui-lang-0.0.20-r0.apk | 132371 | 2024-Oct-25 19:10 |
| moe-1.14-r0.apk | 109454 | 2024-Oct-25 19:10 |
| moe-doc-1.14-r0.apk | 19459 | 2024-Oct-25 19:10 |
| monetdb-doc-11.33.11-r4.apk | 328634 | 2024-Oct-25 19:10 |
| mongo-cxx-driver-3.8.0-r0.apk | 180234 | 2024-Oct-25 19:10 |
| mongo-cxx-driver-dev-3.8.0-r0.apk | 91015 | 2024-Oct-25 19:10 |
| moka-icon-theme-5.4.0-r2.apk | 119267281 | 2024-Oct-25 19:10 |
| monetdb-11.33.11-r4.apk | 2362680 | 2024-Oct-25 19:10 |
| monetdb-dev-11.33.11-r4.apk | 78946 | 2024-Oct-25 19:10 |
| mpdcron-doc-0.3-r1.apk | 13683 | 2024-Oct-25 19:10 |
| mpdcron-zsh-completion-0.3-r1.apk | 2953 | 2024-Oct-25 19:10 |
| mpdris2-0.9.1-r3.apk | 15548 | 2024-Oct-25 19:10 |
| mpdris2-doc-0.9.1-r3.apk | 15224 | 2024-Oct-25 19:10 |
| mpdris2-lang-0.9.1-r3.apk | 2390 | 2024-Oct-25 19:10 |
| mrsh-0_git20210518-r1.apk | 5670 | 2024-Oct-25 19:10 |
| mrsh-dbg-0_git20210518-r1.apk | 209492 | 2024-Oct-25 19:10 |
| mrsh-dev-0_git20210518-r1.apk | 10225 | 2024-Oct-25 19:10 |
| mrsh-libs-0_git20210518-r1.apk | 60025 | 2024-Oct-25 19:10 |
| msgpuck-2.0-r1.apk | 1197 | 2024-Oct-25 19:10 |
| msgpuck-dev-2.0-r1.apk | 24105 | 2024-Oct-25 19:10 |
| msgpuck-doc-2.0-r1.apk | 7493 | 2024-Oct-25 19:10 |
| mspdebug-0.25-r1.apk | 211318 | 2024-Oct-25 19:10 |
| mspdebug-doc-0.25-r1.apk | 14551 | 2024-Oct-25 19:10 |
| mstflint-4.26.0.1-r0.apk | 4279351 | 2024-Oct-25 19:10 |
| mxclient-0_git20211002-r1.apk | 74306 | 2024-Oct-25 19:10 |
| mstflint-doc-4.26.0.1-r0.apk | 18387 | 2024-Oct-25 19:10 |
| moon-buggy-1.0.51-r1.apk | 37094 | 2024-Oct-25 19:10 |
| moon-buggy-doc-1.0.51-r1.apk | 7302 | 2024-Oct-25 19:10 |
| mp3val-0.1.8-r1.apk | 13465 | 2024-Oct-25 19:10 |
| mpdcron-0.3-r1.apk | 95746 | 2024-Oct-25 19:10 |
| mpdcron-dev-0.3-r1.apk | 62082 | 2024-Oct-25 19:10 |
| newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk | 4498959 | 2024-Oct-25 19:10 |
| n30f-2.0-r3.apk | 7072 | 2024-Oct-25 19:10 |
| nano-hare-0_git20231021-r0.apk | 2229 | 2024-Oct-25 19:10 |
| nbsdgames-5-r0.apk | 103246 | 2024-Oct-25 19:10 |
| nbsdgames-doc-5-r0.apk | 9617 | 2024-Oct-25 19:10 |
| ndpi-4.10-r0.apk | 1329174 | 2024-Oct-25 19:10 |
| ndpi-dev-4.10-r0.apk | 887877 | 2024-Oct-25 19:10 |
| neard-0.19-r0.apk | 142665 | 2024-Oct-25 19:10 |
| neard-dev-0.19-r0.apk | 11352 | 2024-Oct-25 19:10 |
| neard-doc-0.19-r0.apk | 5740 | 2024-Oct-25 19:10 |
| neard-openrc-0.19-r0.apk | 1750 | 2024-Oct-25 19:10 |
| nemo-gtkhash-plugin-1.5-r0.apk | 23371 | 2024-Oct-25 19:10 |
| neo4j-client-2.2.0-r3.apk | 29508 | 2024-Oct-25 19:10 |
| neo4j-client-doc-2.2.0-r3.apk | 5486 | 2024-Oct-25 19:10 |
| netscanner-0.5.1-r1.apk | 3678621 | 2024-Oct-25 19:10 |
| netscanner-doc-0.5.1-r1.apk | 3410 | 2024-Oct-25 19:10 |
| newlib-esp-0_git20240109-r0.apk | 1554 | 2024-Oct-25 19:10 |
| newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk | 4497118 | 2024-Oct-25 19:10 |
| newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk | 4536124 | 2024-Oct-25 19:10 |
| newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk | 4675937 | 2024-Oct-25 19:10 |
| newlib-esp-xtensa-none-elf-0_git20240109-r0.apk | 1113267 | 2024-Oct-25 19:10 |
| newsyslog-1.2.0.91-r1.apk | 18196 | 2024-Oct-25 19:10 |
| newsyslog-doc-1.2.0.91-r1.apk | 24594 | 2024-Oct-25 19:10 |
| nextpnr-0.7-r0.apk | 1482 | 2024-Oct-25 19:10 |
| nextpnr-ecp5-0.7-r0.apk | 26645639 | 2024-Oct-25 19:10 |
| nextpnr-generic-0.7-r0.apk | 762595 | 2024-Oct-25 19:10 |
| nextpnr-gowin-0.7-r0.apk | 1549803 | 2024-Oct-25 19:10 |
| noice-0.8-r1.apk | 10017 | 2024-Oct-25 19:10 |
| nextpnr-ice40-0.7-r0.apk | 71888489 | 2024-Oct-25 19:10 |
| nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1..> | 729831 | 2024-Oct-25 19:10 |
| nginx-ultimate-bad-bot-blocker-doc-4.2023.10.404..> | 21777 | 2024-Oct-25 19:10 |
| ngs-0.2.14-r0.apk | 296652 | 2024-Oct-25 19:10 |
| ngs-aws-0.2.14-r0.apk | 33462 | 2024-Oct-25 19:10 |
| ngs-vim-0.2.14-r0.apk | 5008 | 2024-Oct-25 19:10 |
| nitro-2.7_beta8-r2.apk | 556292 | 2024-Oct-25 19:10 |
| nitro-dev-2.7_beta8-r2.apk | 194535 | 2024-Oct-25 19:10 |
| nitrocli-0.4.1-r3.apk | 419762 | 2024-Oct-25 19:10 |
| nitrocli-bash-completion-0.4.1-r3.apk | 3317 | 2024-Oct-25 19:10 |
| nitrocli-doc-0.4.1-r3.apk | 9037 | 2024-Oct-25 19:10 |
| nkk-0_git20221010-r0.apk | 15299 | 2024-Oct-25 19:10 |
| nsh-0.4.2-r1.apk | 591392 | 2024-Oct-25 19:10 |
| nkk-dev-0_git20221010-r0.apk | 2969 | 2024-Oct-25 19:10 |
| nkk-doc-0_git20221010-r0.apk | 7139 | 2024-Oct-25 19:10 |
| noblenote-1.2.1-r1.apk | 405548 | 2024-Oct-25 19:10 |
| node-libpg-query-13.1.2-r5.apk | 18812 | 2024-Oct-25 19:10 |
| noggin-model-0.1-r0.apk | 12576152 | 2024-Oct-25 19:10 |
| noggin-model-lightweight-0.1-r0.apk | 1762336 | 2024-Oct-25 19:10 |
| noice-doc-0.8-r1.apk | 3437 | 2024-Oct-25 19:10 |
| nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2086 | 2024-Oct-25 19:10 |
| nsh-dbg-0.4.2-r1.apk | 3487587 | 2024-Oct-25 19:10 |
| nsnake-3.0.0-r0.apk | 9053 | 2024-Oct-25 19:10 |
| nsnake-doc-3.0.0-r0.apk | 2688 | 2024-Oct-25 19:10 |
| nuklear-4.12.0-r0.apk | 225110 | 2024-Oct-25 19:10 |
| nuklear-doc-4.12.0-r0.apk | 43452 | 2024-Oct-25 19:10 |
| nullmailer-2.2-r4.apk | 123484 | 2024-Oct-25 19:10 |
| nullmailer-doc-2.2-r4.apk | 10459 | 2024-Oct-25 19:10 |
| nullmailer-openrc-2.2-r4.apk | 1635 | 2024-Oct-25 19:10 |
| nvim-cmp-0.0.0_git20221011-r1.apk | 56162 | 2024-Oct-25 19:10 |
| nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7995 | 2024-Oct-25 19:10 |
| nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4254 | 2024-Oct-25 19:10 |
| nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3393 | 2024-Oct-25 19:10 |
| nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1831 | 2024-Oct-25 19:10 |
| nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10710 | 2024-Oct-25 19:10 |
| nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3563 | 2024-Oct-25 19:10 |
| nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2668 | 2024-Oct-25 19:10 |
| nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3604 | 2024-Oct-25 19:10 |
| nvim-cmp-path-0.0.0_git20221002-r1.apk | 3858 | 2024-Oct-25 19:10 |
| nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2024 | 2024-Oct-25 19:10 |
| nvim-gruvbox-0.0.0_git20221212-r1.apk | 10418 | 2024-Oct-25 19:10 |
| nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2864 | 2024-Oct-25 19:10 |
| nvim-packer-0.0.0_git20220910-r1.apk | 46702 | 2024-Oct-25 19:10 |
| nvim-packer-doc-0.0.0_git20220910-r1.apk | 21692 | 2024-Oct-25 19:10 |
| nvimpager-0.12.0-r0.apk | 12890 | 2024-Oct-25 19:10 |
| nvimpager-doc-0.12.0-r0.apk | 4529 | 2024-Oct-25 19:10 |
| nvimpager-zsh-completion-0.12.0-r0.apk | 1869 | 2024-Oct-25 19:10 |
| objconv-2.52_git20210213-r2.apk | 247309 | 2024-Oct-25 19:10 |
| ocaml-camomile-dev-1.0.2-r3.apk | 2620597 | 2024-Oct-25 19:10 |
| ocaml-charinfo_width-dev-1.1.0-r3.apk | 196761 | 2024-Oct-25 19:10 |
| ocaml-fileutils-0.6.4-r2.apk | 337233 | 2024-Oct-25 19:10 |
| ocaml-fileutils-dev-0.6.4-r2.apk | 617582 | 2024-Oct-25 19:10 |
| ocaml-fileutils-doc-0.6.4-r2.apk | 16180 | 2024-Oct-25 19:10 |
| ocaml-gettext-0.4.2-r3.apk | 4756308 | 2024-Oct-25 19:10 |
| ocaml-gettext-dev-0.4.2-r3.apk | 750658 | 2024-Oct-25 19:10 |
| ocaml-gettext-doc-0.4.2-r3.apk | 19642 | 2024-Oct-25 19:10 |
| ocaml-camomile-1.0.2-r3.apk | 1440477 | 2024-Oct-25 19:10 |
| ocaml-camomile-data-1.0.2-r3.apk | 5343447 | 2024-Oct-25 19:10 |
| ocaml-charinfo_width-1.1.0-r3.apk | 116278 | 2024-Oct-25 19:10 |
| ocaml-mqtt-0.2.2-r0.apk | 170290 | 2024-Oct-25 19:10 |
| ocaml-mqtt-dev-0.2.2-r0.apk | 266317 | 2024-Oct-25 19:10 |
| ocaml-obuild-0.1.11-r0.apk | 1493305 | 2024-Oct-25 19:10 |
| ocaml-omake-doc-0.10.6-r0.apk | 8228 | 2024-Oct-25 19:10 |
| ocaml-omake-0.10.6-r0.apk | 2279300 | 2024-Oct-25 19:10 |
| ocaml-lambda-term-3.2.0-r4.apk | 4189790 | 2024-Oct-25 19:10 |
| ocaml-lambda-term-dev-3.2.0-r4.apk | 4044075 | 2024-Oct-25 19:10 |
| ocaml-lambda-term-doc-3.2.0-r4.apk | 9012 | 2024-Oct-25 19:10 |
| ocaml-libvirt-0.6.1.7-r0.apk | 181484 | 2024-Oct-25 19:10 |
| ocaml-libvirt-dev-0.6.1.7-r0.apk | 106540 | 2024-Oct-25 19:10 |
| ocaml-libvirt-doc-0.6.1.7-r0.apk | 14057 | 2024-Oct-25 19:10 |
| ocaml-mew-0.1.0-r3.apk | 73777 | 2024-Oct-25 19:10 |
| ocaml-mew-dev-0.1.0-r3.apk | 99454 | 2024-Oct-25 19:10 |
| ocaml-mew_vi-0.5.0-r3.apk | 195712 | 2024-Oct-25 19:10 |
| ocaml-mew_vi-dev-0.5.0-r3.apk | 305055 | 2024-Oct-25 19:10 |
| ocaml-qtest-2.11.2-r3.apk | 427909 | 2024-Oct-25 19:10 |
| ocaml-ptmap-2.0.5-r3.apk | 57917 | 2024-Oct-25 19:10 |
| ocaml-ptmap-dev-2.0.5-r3.apk | 97083 | 2024-Oct-25 19:10 |
| ocaml-qcheck-0.18.1-r3.apk | 726419 | 2024-Oct-25 19:10 |
| ocaml-qcheck-dev-0.18.1-r3.apk | 1419466 | 2024-Oct-25 19:10 |
| ocaml-qtest-dev-2.11.2-r3.apk | 3682 | 2024-Oct-25 19:10 |
| ocaml-utop-2.9.1-r4.apk | 357607 | 2024-Oct-25 19:10 |
| ocaml-sha-1.15.4-r0.apk | 69276 | 2024-Oct-25 19:10 |
| ocaml-sha-dev-1.15.4-r0.apk | 226885 | 2024-Oct-25 19:10 |
| ocaml-trie-1.0.0-r2.apk | 17151 | 2024-Oct-25 19:10 |
| ocaml-trie-dev-1.0.0-r2.apk | 26092 | 2024-Oct-25 19:10 |
| ocaml-utop-dev-2.9.1-r4.apk | 781087 | 2024-Oct-25 19:10 |
| olsrd-0.9.8-r3.apk | 167383 | 2024-Oct-25 19:10 |
| ocaml-zed-3.1.0-r3.apk | 555515 | 2024-Oct-25 19:10 |
| ocaml-zed-dev-3.1.0-r3.apk | 1189577 | 2024-Oct-25 19:10 |
| ocfs2-tools-1.8.7-r4.apk | 1181542 | 2024-Oct-25 19:10 |
| ocfs2-tools-dev-1.8.7-r4.apk | 46025 | 2024-Oct-25 19:10 |
| ocfs2-tools-doc-1.8.7-r4.apk | 71018 | 2024-Oct-25 19:10 |
| octoprint-creality2xfix-0.0.4-r2.apk | 4822 | 2024-Oct-25 19:10 |
| octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3413 | 2024-Oct-25 19:10 |
| octoprint-firmwarecheck-2021.10.11-r2.apk | 30008 | 2024-Oct-25 19:10 |
| octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 18019 | 2024-Oct-25 19:10 |
| octoprint-pisupport-2023.10.10-r1.apk | 31576 | 2024-Oct-25 19:10 |
| octoprint-pisupport-pyc-2023.10.10-r1.apk | 13121 | 2024-Oct-25 19:10 |
| oil-0.21.0-r0.apk | 1532160 | 2024-Oct-25 19:10 |
| oil-doc-0.21.0-r0.apk | 7163 | 2024-Oct-25 19:10 |
| olsrd-doc-0.9.8-r3.apk | 25672 | 2024-Oct-25 19:10 |
| olsrd-openrc-0.9.8-r3.apk | 1921 | 2024-Oct-25 19:10 |
| olsrd-plugins-0.9.8-r3.apk | 202388 | 2024-Oct-25 19:10 |
| openapi-validator-1.19.2-r0.apk | 10422568 | 2024-Oct-25 19:10 |
| openfpgaloader-0.11.0-r0.apk | 2042194 | 2024-Oct-25 19:10 |
| openapi-tui-0.9.4-r1.apk | 4516958 | 2024-Oct-25 19:10 |
| openocd-riscv-udev-rules-0_git20230104-r2.apk | 3349 | 2024-Oct-25 19:10 |
| openocd-riscv-0_git20230104-r2.apk | 1549913 | 2024-Oct-25 19:10 |
| openocd-riscv-dev-0_git20230104-r2.apk | 3812 | 2024-Oct-25 19:10 |
| openocd-riscv-doc-0_git20230104-r2.apk | 3367 | 2024-Oct-25 19:10 |
| openslide-doc-3.4.1-r3.apk | 5065 | 2024-Oct-25 19:10 |
| openslide-tools-3.4.1-r3.apk | 14127 | 2024-Oct-25 19:10 |
| openswitcher-0.5.0-r4.apk | 151966 | 2024-Oct-25 19:10 |
| openswitcher-proxy-0.5.0-r4.apk | 9604 | 2024-Oct-25 19:10 |
| openswitcher-proxy-openrc-0.5.0-r4.apk | 2084 | 2024-Oct-25 19:10 |
| opkg-0.7.0-r0.apk | 10487 | 2024-Oct-25 19:10 |
| otf-atkinson-hyperlegible-2020.0514-r1.apk | 104063 | 2024-Oct-25 19:10 |
| opkg-dev-0.7.0-r0.apk | 118436 | 2024-Oct-25 19:10 |
| opkg-doc-0.7.0-r0.apk | 7835 | 2024-Oct-25 19:10 |
| opkg-libs-0.7.0-r0.apk | 81370 | 2024-Oct-25 19:10 |
| opkg-utils-0.7.0-r0.apk | 25804 | 2024-Oct-25 19:10 |
| opkg-utils-doc-0.7.0-r0.apk | 3704 | 2024-Oct-25 19:10 |
| opmsg-1.84-r1.apk | 255958 | 2024-Oct-25 19:10 |
| osmctools-0.9-r0.apk | 123053 | 2024-Oct-25 19:10 |
| optee-client-3.20.0-r0.apk | 21533 | 2024-Oct-25 19:10 |
| optee-client-libs-3.20.0-r0.apk | 40280 | 2024-Oct-25 19:10 |
| optee-client-dev-3.20.0-r0.apk | 29283 | 2024-Oct-25 19:10 |
| otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 48831 | 2024-Oct-25 19:10 |
| openscap-daemon-0.1.10-r9.apk | 61605 | 2024-Oct-25 19:10 |
| openscap-daemon-doc-0.1.10-r9.apk | 17965 | 2024-Oct-25 19:10 |
| openscap-daemon-pyc-0.1.10-r9.apk | 104324 | 2024-Oct-25 19:10 |
| openslide-3.4.1-r3.apk | 82989 | 2024-Oct-25 19:10 |
| openslide-dev-3.4.1-r3.apk | 7043 | 2024-Oct-25 19:10 |
| otrs-apache2-6.0.48-r2.apk | 4124 | 2024-Oct-25 19:10 |
| otrs-6.0.48-r2.apk | 30073387 | 2024-Oct-25 19:10 |
| otrs-bash-completion-6.0.48-r2.apk | 2461 | 2024-Oct-25 19:10 |
| otrs-dev-6.0.48-r2.apk | 4058537 | 2024-Oct-25 19:10 |
| otrs-doc-6.0.48-r2.apk | 814224 | 2024-Oct-25 19:10 |
| otrs-fastcgi-6.0.48-r2.apk | 1812 | 2024-Oct-25 19:10 |
| otrs-nginx-6.0.48-r2.apk | 1835 | 2024-Oct-25 19:10 |
| otrs-openrc-6.0.48-r2.apk | 1940 | 2024-Oct-25 19:10 |
| otrs-setup-6.0.48-r2.apk | 109918 | 2024-Oct-25 19:10 |
| ovn-24.03.1-r0.apk | 7398879 | 2024-Oct-25 19:10 |
| ovn-dbg-24.03.1-r0.apk | 27020990 | 2024-Oct-25 19:10 |
| ovn-dev-24.03.1-r0.apk | 10863258 | 2024-Oct-25 19:10 |
| ovn-doc-24.03.1-r0.apk | 524213 | 2024-Oct-25 19:10 |
| ovn-openrc-24.03.1-r0.apk | 4248 | 2024-Oct-25 19:10 |
| ovos-0.0.1-r1.apk | 1501 | 2024-Oct-25 19:10 |
| ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 46732 | 2024-Oct-25 19:10 |
| ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4062 | 2024-Oct-25 19:10 |
| ovpncc-0.1_rc1-r0.apk | 12230 | 2024-Oct-25 19:10 |
| ovpncc-doc-0.1_rc1-r0.apk | 6669 | 2024-Oct-25 19:10 |
| perl-datetime-timezone-catalog-extend-doc-0.3.3-..> | 15394 | 2024-Oct-25 19:10 |
| oxygen-icons-6.1.0-r0.apk | 33134389 | 2024-Oct-25 19:10 |
| p0f-3.09b-r3.apk | 78315 | 2024-Oct-25 19:10 |
| p0f-doc-3.09b-r3.apk | 25873 | 2024-Oct-25 19:10 |
| p910nd-0.97-r2.apk | 7887 | 2024-Oct-25 19:10 |
| p910nd-doc-0.97-r2.apk | 3055 | 2024-Oct-25 19:10 |
| p910nd-openrc-0.97-r2.apk | 1882 | 2024-Oct-25 19:10 |
| pacparser-1.4.5-r1.apk | 718729 | 2024-Oct-25 19:10 |
| pacparser-dev-1.4.5-r1.apk | 3686 | 2024-Oct-25 19:10 |
| pacparser-doc-1.4.5-r1.apk | 18181 | 2024-Oct-25 19:10 |
| pam-krb5-4.11-r1.apk | 23633 | 2024-Oct-25 19:10 |
| pam-krb5-doc-4.11-r1.apk | 24190 | 2024-Oct-25 19:10 |
| pam_sqlite3-1.0.2-r2.apk | 9193 | 2024-Oct-25 19:10 |
| pamtester-0.1.2-r4.apk | 9151 | 2024-Oct-25 19:10 |
| pamtester-doc-0.1.2-r4.apk | 2957 | 2024-Oct-25 19:10 |
| pantalaimon-0.10.5-r4.apk | 45837 | 2024-Oct-25 19:10 |
| pantalaimon-doc-0.10.5-r4.apk | 6535 | 2024-Oct-25 19:10 |
| pantalaimon-pyc-0.10.5-r4.apk | 84907 | 2024-Oct-25 19:10 |
| pantalaimon-ui-0.10.5-r4.apk | 1761 | 2024-Oct-25 19:10 |
| paperkey-1.6-r2.apk | 16992 | 2024-Oct-25 19:10 |
| paperkey-doc-1.6-r2.apk | 4619 | 2024-Oct-25 19:10 |
| par-1.53.0-r1.apk | 14495 | 2024-Oct-25 19:10 |
| par-doc-1.53.0-r1.apk | 31053 | 2024-Oct-25 19:10 |
| parcellite-1.2.5-r0.apk | 230383 | 2024-Oct-25 19:10 |
| parcellite-doc-1.2.5-r0.apk | 25837 | 2024-Oct-25 19:10 |
| parcellite-lang-1.2.5-r0.apk | 49948 | 2024-Oct-25 19:10 |
| pash-2.3.0-r2.apk | 4379 | 2024-Oct-25 19:10 |
| pasystray-0.8.2-r0.apk | 47698 | 2024-Oct-25 19:10 |
| pasystray-doc-0.8.2-r0.apk | 3413 | 2024-Oct-25 19:10 |
| peervpn-0.044-r5.apk | 41447 | 2024-Oct-25 19:10 |
| peervpn-openrc-0.044-r5.apk | 1822 | 2024-Oct-25 19:10 |
| peg-0.1.18-r1.apk | 37202 | 2024-Oct-25 19:10 |
| peg-doc-0.1.18-r1.apk | 13989 | 2024-Oct-25 19:10 |
| pegasus-frontend-16_alpha-r0.apk | 1292735 | 2024-Oct-25 19:10 |
| pegasus-frontend-doc-16_alpha-r0.apk | 16617 | 2024-Oct-25 19:10 |
| percona-toolkit-3.5.4-r1.apk | 1862145 | 2024-Oct-25 19:10 |
| percona-toolkit-doc-3.5.4-r1.apk | 304664 | 2024-Oct-25 19:10 |
| perl-adapter-async-0.019-r0.apk | 8327 | 2024-Oct-25 19:10 |
| perl-adapter-async-doc-0.019-r0.apk | 17172 | 2024-Oct-25 19:10 |
| perl-algorithm-backoff-0.010-r0.apk | 9815 | 2024-Oct-25 19:10 |
| perl-algorithm-backoff-doc-0.010-r0.apk | 30181 | 2024-Oct-25 19:10 |
| perl-algorithm-c3-0.11-r1.apk | 5794 | 2024-Oct-25 19:10 |
| perl-algorithm-c3-doc-0.11-r1.apk | 5221 | 2024-Oct-25 19:10 |
| perl-algorithm-cron-0.10-r4.apk | 6253 | 2024-Oct-25 19:10 |
| perl-algorithm-cron-doc-0.10-r4.apk | 4708 | 2024-Oct-25 19:10 |
| perl-algorithm-evolutionary-0.82.1-r0.apk | 80623 | 2024-Oct-25 19:10 |
| perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 151277 | 2024-Oct-25 19:10 |
| perl-aliased-0.34-r4.apk | 5793 | 2024-Oct-25 19:10 |
| perl-aliased-doc-0.34-r4.apk | 5805 | 2024-Oct-25 19:10 |
| perl-anyevent-dns-etchosts-0.0105-r0.apk | 5231 | 2024-Oct-25 19:10 |
| perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4078 | 2024-Oct-25 19:10 |
| perl-anyevent-riperedis-0.48-r0.apk | 12684 | 2024-Oct-25 19:10 |
| perl-anyevent-riperedis-doc-0.48-r0.apk | 10552 | 2024-Oct-25 19:10 |
| perl-archive-extract-0.88-r1.apk | 16128 | 2024-Oct-25 19:10 |
| perl-archive-extract-doc-0.88-r1.apk | 6993 | 2024-Oct-25 19:10 |
| perl-bind-config-parser-0.01-r5.apk | 3966 | 2024-Oct-25 19:10 |
| perl-bind-config-parser-doc-0.01-r5.apk | 3716 | 2024-Oct-25 19:10 |
| perl-bytes-random-secure-0.29-r0.apk | 14662 | 2024-Oct-25 19:10 |
| perl-bytes-random-secure-doc-0.29-r0.apk | 12448 | 2024-Oct-25 19:10 |
| perl-cache-lru-0.04-r0.apk | 3036 | 2024-Oct-25 19:10 |
| perl-cache-lru-doc-0.04-r0.apk | 3296 | 2024-Oct-25 19:10 |
| perl-cgi-expand-2.05-r4.apk | 7036 | 2024-Oct-25 19:10 |
| perl-cgi-expand-doc-2.05-r4.apk | 6310 | 2024-Oct-25 19:10 |
| perl-class-accessor-grouped-0.10014-r2.apk | 12307 | 2024-Oct-25 19:10 |
| perl-class-accessor-grouped-doc-0.10014-r2.apk | 7658 | 2024-Oct-25 19:10 |
| perl-class-c3-0.35-r1.apk | 9684 | 2024-Oct-25 19:10 |
| perl-class-c3-componentised-1.001002-r2.apk | 5659 | 2024-Oct-25 19:10 |
| perl-class-c3-componentised-doc-1.001002-r2.apk | 5466 | 2024-Oct-25 19:10 |
| perl-class-c3-doc-0.35-r1.apk | 9501 | 2024-Oct-25 19:10 |
| perl-color-ansi-util-0.165-r0.apk | 7460 | 2024-Oct-25 19:10 |
| perl-color-ansi-util-doc-0.165-r0.apk | 5285 | 2024-Oct-25 19:10 |
| perl-constant-defer-6-r5.apk | 7583 | 2024-Oct-25 19:10 |
| perl-constant-defer-doc-6-r5.apk | 7116 | 2024-Oct-25 19:10 |
| perl-constant-generate-0.17-r5.apk | 8966 | 2024-Oct-25 19:10 |
| perl-constant-generate-doc-0.17-r5.apk | 7217 | 2024-Oct-25 19:10 |
| perl-context-preserve-0.03-r4.apk | 3958 | 2024-Oct-25 19:10 |
| perl-context-preserve-doc-0.03-r4.apk | 4318 | 2024-Oct-25 19:10 |
| perl-crypt-random-seed-0.03-r0.apk | 11506 | 2024-Oct-25 19:10 |
| perl-crypt-random-seed-doc-0.03-r0.apk | 9019 | 2024-Oct-25 19:10 |
| perl-crypt-saltedhash-0.09-r5.apk | 7117 | 2024-Oct-25 19:10 |
| perl-crypt-saltedhash-doc-0.09-r5.apk | 6515 | 2024-Oct-25 19:10 |
| perl-css-object-0.2.0-r0.apk | 23303 | 2024-Oct-25 19:10 |
| perl-css-object-doc-0.2.0-r0.apk | 33339 | 2024-Oct-25 19:10 |
| perl-daemon-control-0.001010-r2.apk | 12678 | 2024-Oct-25 19:10 |
| perl-daemon-control-doc-0.001010-r2.apk | 8454 | 2024-Oct-25 19:10 |
| perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15676 | 2024-Oct-25 19:10 |
| perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 15170 | 2024-Oct-25 19:10 |
| perl-dancer-plugin-dbic-0.2104-r5.apk | 5041 | 2024-Oct-25 19:10 |
| perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5481 | 2024-Oct-25 19:10 |
| perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9934 | 2024-Oct-25 19:10 |
| perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8662 | 2024-Oct-25 19:10 |
| perl-dancer-session-cookie-0.30-r2.apk | 5594 | 2024-Oct-25 19:10 |
| perl-dancer-session-cookie-doc-0.30-r2.apk | 4287 | 2024-Oct-25 19:10 |
| perl-data-validate-domain-0.15-r0.apk | 5983 | 2024-Oct-25 19:10 |
| perl-data-validate-domain-doc-0.15-r0.apk | 5602 | 2024-Oct-25 19:10 |
| perl-data-validate-ip-0.31-r1.apk | 9035 | 2024-Oct-25 19:10 |
| perl-data-validate-ip-doc-0.31-r1.apk | 5997 | 2024-Oct-25 19:10 |
| perl-database-async-0.019-r0.apk | 23359 | 2024-Oct-25 19:10 |
| perl-database-async-doc-0.019-r0.apk | 29768 | 2024-Oct-25 19:10 |
| perl-database-async-engine-postgresql-1.005-r0.apk | 14279 | 2024-Oct-25 19:10 |
| perl-database-async-engine-postgresql-doc-1.005-..> | 9540 | 2024-Oct-25 19:10 |
| perl-datetime-timezone-alias-0.06-r0.apk | 2595 | 2024-Oct-25 19:10 |
| perl-datetime-timezone-alias-doc-0.06-r0.apk | 7809 | 2024-Oct-25 19:10 |
| perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 12092 | 2024-Oct-25 19:10 |
| perl-test-requires-git-doc-1.008-r0.apk | 4508 | 2024-Oct-25 19:10 |
| perl-test-roo-1.004-r3.apk | 12197 | 2024-Oct-25 19:10 |
| perl-test-roo-doc-1.004-r3.apk | 15839 | 2024-Oct-25 19:10 |
| perl-test-settings-0.003-r0.apk | 5066 | 2024-Oct-25 19:10 |
| perl-test-settings-doc-0.003-r0.apk | 6188 | 2024-Oct-25 19:10 |
| perl-test-trap-0.3.5-r1.apk | 20245 | 2024-Oct-25 19:10 |
| perl-test-trap-doc-0.3.5-r1.apk | 20385 | 2024-Oct-25 19:10 |
| perl-test-unit-0.27-r0.apk | 37727 | 2024-Oct-25 19:10 |
| perl-test-unit-doc-0.27-r0.apk | 49465 | 2024-Oct-25 19:10 |
| perl-test-useallmodules-0.17-r1.apk | 3912 | 2024-Oct-25 19:10 |
| perl-test-useallmodules-doc-0.17-r1.apk | 3957 | 2024-Oct-25 19:10 |
| perl-test2-tools-explain-0.02-r0.apk | 3902 | 2024-Oct-25 19:10 |
| perl-test2-tools-explain-doc-0.02-r0.apk | 4540 | 2024-Oct-25 19:10 |
| perl-text-brew-0.02-r5.apk | 4639 | 2024-Oct-25 19:10 |
| perl-text-brew-doc-0.02-r5.apk | 4237 | 2024-Oct-25 19:10 |
| perl-text-table-any-0.117-r0.apk | 8263 | 2024-Oct-25 19:10 |
| perl-text-table-any-doc-0.117-r0.apk | 6812 | 2024-Oct-25 19:10 |
| perl-text-table-sprintf-0.008-r0.apk | 5483 | 2024-Oct-25 19:10 |
| perl-text-table-sprintf-doc-0.008-r0.apk | 5344 | 2024-Oct-25 19:10 |
| perl-throwable-1.001-r1.apk | 6371 | 2024-Oct-25 19:10 |
| perl-throwable-doc-1.001-r1.apk | 8198 | 2024-Oct-25 19:10 |
| perl-tickit-widget-choice-0.07-r0.apk | 4023 | 2024-Oct-25 19:10 |
| perl-tickit-widget-choice-doc-0.07-r0.apk | 3494 | 2024-Oct-25 19:10 |
| perl-tickit-widget-entry-plugin-completion-0.02-..> | 4737 | 2024-Oct-25 19:10 |
| perl-tickit-widget-entry-plugin-completion-doc-0..> | 3941 | 2024-Oct-25 19:10 |
| perl-tickit-widget-floatbox-0.11-r0.apk | 4808 | 2024-Oct-25 19:10 |
| perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4252 | 2024-Oct-25 19:10 |
| perl-tickit-widget-menu-0.16-r0.apk | 7352 | 2024-Oct-25 19:10 |
| perl-tickit-widget-menu-doc-0.16-r0.apk | 7081 | 2024-Oct-25 19:10 |
| perl-tickit-widget-scrollbox-0.12-r0.apk | 8196 | 2024-Oct-25 19:10 |
| perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6704 | 2024-Oct-25 19:10 |
| perl-time-moment-role-strptime-0.001-r0.apk | 2816 | 2024-Oct-25 19:10 |
| perl-time-moment-role-strptime-doc-0.001-r0.apk | 3444 | 2024-Oct-25 19:10 |
| perl-time-moment-role-timezone-1.000-r0.apk | 3649 | 2024-Oct-25 19:10 |
| perl-time-moment-role-timezone-doc-1.000-r0.apk | 4082 | 2024-Oct-25 19:10 |
| perl-types-path-tiny-0.006-r0.apk | 4044 | 2024-Oct-25 19:10 |
| perl-types-path-tiny-doc-0.006-r0.apk | 4189 | 2024-Oct-25 19:10 |
| perl-uri-fetch-0.15-r0.apk | 7225 | 2024-Oct-25 19:10 |
| perl-uri-fetch-doc-0.15-r0.apk | 7700 | 2024-Oct-25 19:10 |
| perl-uri-nested-0.10-r0.apk | 4103 | 2024-Oct-25 19:10 |
| perl-uri-nested-doc-0.10-r0.apk | 3993 | 2024-Oct-25 19:10 |
| perl-uri-redis-0.02-r0.apk | 3262 | 2024-Oct-25 19:10 |
| perl-uri-redis-doc-0.02-r0.apk | 4680 | 2024-Oct-25 19:10 |
| perl-uri-tcp-2.0.0-r0.apk | 2777 | 2024-Oct-25 19:10 |
| perl-uri-tcp-doc-2.0.0-r0.apk | 5072 | 2024-Oct-25 19:10 |
| perl-url-encode-0.03-r4.apk | 5278 | 2024-Oct-25 19:10 |
| perl-url-encode-doc-0.03-r4.apk | 4812 | 2024-Oct-25 19:10 |
| perl-variable-disposition-0.005-r0.apk | 3346 | 2024-Oct-25 19:10 |
| perl-variable-disposition-doc-0.005-r0.apk | 5786 | 2024-Oct-25 19:10 |
| perl-x-tiny-0.22-r0.apk | 7036 | 2024-Oct-25 19:10 |
| perl-x-tiny-doc-0.22-r0.apk | 7777 | 2024-Oct-25 19:10 |
| perl-xml-atom-0.43-r0.apk | 20079 | 2024-Oct-25 19:10 |
| perl-xml-atom-doc-0.43-r0.apk | 16293 | 2024-Oct-25 19:10 |
| perl-xml-parser-style-easytree-0.09-r0.apk | 5085 | 2024-Oct-25 19:10 |
| perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5548 | 2024-Oct-25 19:10 |
| perl-xml-rpc-2.1-r0.apk | 5869 | 2024-Oct-25 19:10 |
| perl-xml-rpc-doc-2.1-r0.apk | 4975 | 2024-Oct-25 19:10 |
| pest-language-server-0.3.9-r0.apk | 1068728 | 2024-Oct-25 19:10 |
| perl-dbicx-sugar-0.0200-r5.apk | 6032 | 2024-Oct-25 19:10 |
| perl-dbicx-sugar-doc-0.0200-r5.apk | 5461 | 2024-Oct-25 19:10 |
| perl-dbix-datasource-0.02-r5.apk | 4400 | 2024-Oct-25 19:10 |
| perl-dbix-datasource-doc-0.02-r5.apk | 7717 | 2024-Oct-25 19:10 |
| perl-dbix-introspector-0.001005-r4.apk | 8244 | 2024-Oct-25 19:10 |
| perl-dbix-introspector-doc-0.001005-r4.apk | 8660 | 2024-Oct-25 19:10 |
| perl-devel-confess-0.009004-r0.apk | 11698 | 2024-Oct-25 19:10 |
| perl-devel-confess-doc-0.009004-r0.apk | 6813 | 2024-Oct-25 19:10 |
| perl-digest-bcrypt-1.212-r1.apk | 5711 | 2024-Oct-25 19:10 |
| perl-digest-bcrypt-doc-1.212-r1.apk | 5302 | 2024-Oct-25 19:10 |
| perl-email-abstract-3.010-r0.apk | 7833 | 2024-Oct-25 19:10 |
| perl-email-abstract-doc-3.010-r0.apk | 13204 | 2024-Oct-25 19:10 |
| perl-email-mime-attachment-stripper-1.317-r5.apk | 4064 | 2024-Oct-25 19:10 |
| perl-email-mime-attachment-stripper-doc-1.317-r5..> | 3904 | 2024-Oct-25 19:10 |
| perl-email-reply-1.204-r5.apk | 6289 | 2024-Oct-25 19:10 |
| perl-email-reply-doc-1.204-r5.apk | 4917 | 2024-Oct-25 19:10 |
| perl-extutils-xsbuilder-0.28-r5.apk | 44095 | 2024-Oct-25 19:10 |
| perl-extutils-xsbuilder-doc-0.28-r5.apk | 21644 | 2024-Oct-25 19:10 |
| perl-feed-find-0.13-r0.apk | 3949 | 2024-Oct-25 19:10 |
| perl-feed-find-doc-0.13-r0.apk | 3829 | 2024-Oct-25 19:10 |
| perl-ffi-c-0.15-r0.apk | 20350 | 2024-Oct-25 19:10 |
| perl-ffi-c-doc-0.15-r0.apk | 29284 | 2024-Oct-25 19:10 |
| perl-ffi-platypus-type-enum-0.06-r0.apk | 5331 | 2024-Oct-25 19:10 |
| perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5284 | 2024-Oct-25 19:10 |
| perl-file-rename-2.02-r0.apk | 7720 | 2024-Oct-25 19:10 |
| perl-file-rename-doc-2.02-r0.apk | 12373 | 2024-Oct-25 19:10 |
| perl-full-1.004-r0.apk | 7287 | 2024-Oct-25 19:10 |
| perl-full-doc-1.004-r0.apk | 10544 | 2024-Oct-25 19:10 |
| perl-future-http-0.17-r0.apk | 9456 | 2024-Oct-25 19:10 |
| perl-future-http-doc-0.17-r0.apk | 16056 | 2024-Oct-25 19:10 |
| perl-future-q-0.120-r0.apk | 9837 | 2024-Oct-25 19:10 |
| perl-future-q-doc-0.120-r0.apk | 9335 | 2024-Oct-25 19:10 |
| perl-future-queue-0.52-r0.apk | 4187 | 2024-Oct-25 19:10 |
| perl-future-queue-doc-0.52-r0.apk | 4372 | 2024-Oct-25 19:10 |
| perl-gearman-2.004.015-r3.apk | 28124 | 2024-Oct-25 19:10 |
| perl-gearman-doc-2.004.015-r3.apk | 20255 | 2024-Oct-25 19:10 |
| perl-getopt-tabular-0.3-r4.apk | 23800 | 2024-Oct-25 19:10 |
| perl-getopt-tabular-doc-0.3-r4.apk | 17146 | 2024-Oct-25 19:10 |
| perl-git-repository-1.325-r0.apk | 16732 | 2024-Oct-25 19:10 |
| perl-git-repository-doc-1.325-r0.apk | 32257 | 2024-Oct-25 19:10 |
| perl-git-version-compare-1.005-r0.apk | 5537 | 2024-Oct-25 19:10 |
| perl-git-version-compare-doc-1.005-r0.apk | 4982 | 2024-Oct-25 19:10 |
| perl-glib-ex-objectbits-17-r0.apk | 15407 | 2024-Oct-25 19:10 |
| perl-glib-ex-objectbits-doc-17-r0.apk | 22925 | 2024-Oct-25 19:10 |
| perl-graphql-client-0.605-r0.apk | 7318 | 2024-Oct-25 19:10 |
| perl-graphql-client-cli-0.605-r0.apk | 8009 | 2024-Oct-25 19:10 |
| perl-graphql-client-doc-0.605-r0.apk | 14317 | 2024-Oct-25 19:10 |
| perl-gtk2-ex-listmodelconcat-11-r4.apk | 13065 | 2024-Oct-25 19:10 |
| perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7470 | 2024-Oct-25 19:10 |
| perl-gtk2-ex-widgetbits-48-r3.apk | 67293 | 2024-Oct-25 19:10 |
| perl-gtk2-ex-widgetbits-doc-48-r3.apk | 83174 | 2024-Oct-25 19:10 |
| perl-hash-ordered-0.014-r0.apk | 10030 | 2024-Oct-25 19:10 |
| perl-hash-ordered-doc-0.014-r0.apk | 19701 | 2024-Oct-25 19:10 |
| perl-html-selector-xpath-0.28-r0.apk | 6363 | 2024-Oct-25 19:10 |
| perl-html-selector-xpath-doc-0.28-r0.apk | 4170 | 2024-Oct-25 19:10 |
| perl-html-tableextract-2.15-r4.apk | 18102 | 2024-Oct-25 19:10 |
| perl-html-tableextract-doc-2.15-r4.apk | 10158 | 2024-Oct-25 19:10 |
| perl-http-thin-0.006-r0.apk | 3159 | 2024-Oct-25 19:10 |
| perl-http-thin-doc-0.006-r0.apk | 3532 | 2024-Oct-25 19:10 |
| perl-i18n-langinfo-wide-9-r4.apk | 4316 | 2024-Oct-25 19:10 |
| perl-i18n-langinfo-wide-doc-9-r4.apk | 4185 | 2024-Oct-25 19:10 |
| perl-io-lambda-1.34-r0.apk | 77268 | 2024-Oct-25 19:10 |
| perl-io-lambda-doc-1.34-r0.apk | 69575 | 2024-Oct-25 19:10 |
| perl-io-sessiondata-1.03-r3.apk | 5894 | 2024-Oct-25 19:10 |
| perl-json-maybeutf8-2.000-r0.apk | 3201 | 2024-Oct-25 19:10 |
| perl-json-maybeutf8-doc-2.000-r0.apk | 3643 | 2024-Oct-25 19:10 |
| perl-lib-abs-0.95-r0.apk | 3951 | 2024-Oct-25 19:10 |
| perl-lib-abs-doc-0.95-r0.apk | 4048 | 2024-Oct-25 19:10 |
| perl-list-binarysearch-0.25-r0.apk | 10195 | 2024-Oct-25 19:10 |
| perl-list-binarysearch-doc-0.25-r0.apk | 11820 | 2024-Oct-25 19:10 |
| perl-log-fu-0.31-r4.apk | 10759 | 2024-Oct-25 19:10 |
| perl-log-fu-doc-0.31-r4.apk | 7434 | 2024-Oct-25 19:10 |
| perl-log-message-0.08-r3.apk | 10862 | 2024-Oct-25 19:10 |
| perl-log-message-doc-0.08-r3.apk | 12437 | 2024-Oct-25 19:10 |
| perl-log-message-simple-0.10-r3.apk | 4318 | 2024-Oct-25 19:10 |
| perl-log-message-simple-doc-0.10-r3.apk | 4045 | 2024-Oct-25 19:10 |
| perl-lwp-useragent-cached-0.08-r1.apk | 6541 | 2024-Oct-25 19:10 |
| perl-lwp-useragent-cached-doc-0.08-r1.apk | 5791 | 2024-Oct-25 19:10 |
| perl-mastodon-client-0.017-r0.apk | 22592 | 2024-Oct-25 19:10 |
| perl-mastodon-client-doc-0.017-r0.apk | 33771 | 2024-Oct-25 19:10 |
| perl-minion-backend-redis-0.003-r0.apk | 10760 | 2024-Oct-25 19:10 |
| perl-minion-backend-redis-doc-0.003-r0.apk | 6738 | 2024-Oct-25 19:10 |
| perl-minion-backend-sqlite-5.0.7-r0.apk | 10365 | 2024-Oct-25 19:10 |
| perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6939 | 2024-Oct-25 19:10 |
| perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3519 | 2024-Oct-25 19:10 |
| perl-module-build-prereqs-fromcpanfile-doc-0.02-..> | 3863 | 2024-Oct-25 19:10 |
| perl-mojo-reactor-ioasync-1.002-r0.apk | 4848 | 2024-Oct-25 19:10 |
| perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4487 | 2024-Oct-25 19:10 |
| perl-mojo-redis-3.29-r0.apk | 25784 | 2024-Oct-25 19:10 |
| perl-mojo-redis-doc-3.29-r0.apk | 25203 | 2024-Oct-25 19:10 |
| perl-mojo-sqlite-3.009-r0.apk | 16339 | 2024-Oct-25 19:10 |
| perl-mojo-sqlite-doc-3.009-r0.apk | 19824 | 2024-Oct-25 19:10 |
| perl-net-address-ip-local-0.1.2-r0.apk | 3532 | 2024-Oct-25 19:10 |
| perl-net-address-ip-local-doc-0.1.2-r0.apk | 3583 | 2024-Oct-25 19:10 |
| perl-net-curl-promiser-0.20-r0.apk | 9085 | 2024-Oct-25 19:10 |
| perl-net-curl-promiser-anyevent-0.20-r0.apk | 2791 | 2024-Oct-25 19:10 |
| perl-net-curl-promiser-doc-0.20-r0.apk | 11931 | 2024-Oct-25 19:10 |
| perl-net-curl-promiser-ioasync-0.20-r0.apk | 3049 | 2024-Oct-25 19:10 |
| perl-net-curl-promiser-mojo-0.20-r0.apk | 3190 | 2024-Oct-25 19:10 |
| perl-net-irr-0.10-r0.apk | 5596 | 2024-Oct-25 19:10 |
| perl-net-irr-doc-0.10-r0.apk | 5290 | 2024-Oct-25 19:10 |
| perl-netaddr-mac-0.98-r1.apk | 11101 | 2024-Oct-25 19:10 |
| perl-netaddr-mac-doc-0.98-r1.apk | 8181 | 2024-Oct-25 19:10 |
| perl-number-format-1.76-r1.apk | 15615 | 2024-Oct-25 19:10 |
| perl-number-format-doc-1.76-r1.apk | 9231 | 2024-Oct-25 19:10 |
| perl-number-tolerant-1.710-r0.apk | 15192 | 2024-Oct-25 19:10 |
| perl-number-tolerant-doc-1.710-r0.apk | 26256 | 2024-Oct-25 19:10 |
| perl-object-array-0.060-r0.apk | 5826 | 2024-Oct-25 19:10 |
| perl-object-array-doc-0.060-r0.apk | 7130 | 2024-Oct-25 19:10 |
| perl-openapi-client-1.07-r0.apk | 8856 | 2024-Oct-25 19:10 |
| perl-openapi-client-doc-1.07-r0.apk | 7532 | 2024-Oct-25 19:10 |
| perl-opentracing-1.006-r0.apk | 18423 | 2024-Oct-25 19:10 |
| perl-opentracing-doc-1.006-r0.apk | 33511 | 2024-Oct-25 19:10 |
| perl-path-iter-0.2-r3.apk | 5343 | 2024-Oct-25 19:10 |
| perl-path-iter-doc-0.2-r3.apk | 5279 | 2024-Oct-25 19:10 |
| perl-plack-middleware-expires-0.06-r3.apk | 3968 | 2024-Oct-25 19:10 |
| perl-plack-middleware-expires-doc-0.06-r3.apk | 3426 | 2024-Oct-25 19:10 |
| perl-plack-middleware-reverseproxy-0.16-r2.apk | 3238 | 2024-Oct-25 19:10 |
| perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3125 | 2024-Oct-25 19:10 |
| perl-pod-cpandoc-0.16-r6.apk | 4678 | 2024-Oct-25 19:10 |
| perl-pod-cpandoc-doc-0.16-r6.apk | 5014 | 2024-Oct-25 19:10 |
| perl-pod-tidy-0.10-r1.apk | 10633 | 2024-Oct-25 19:10 |
| perl-pod-tidy-doc-0.10-r1.apk | 10804 | 2024-Oct-25 19:10 |
| perl-proc-guard-0.07-r4.apk | 3780 | 2024-Oct-25 19:10 |
| perl-proc-guard-doc-0.07-r4.apk | 3623 | 2024-Oct-25 19:10 |
| perl-promise-es6-0.28-r0.apk | 10978 | 2024-Oct-25 19:10 |
| perl-promise-es6-anyevent-0.28-r0.apk | 2572 | 2024-Oct-25 19:10 |
| perl-promise-es6-doc-0.28-r0.apk | 12367 | 2024-Oct-25 19:10 |
| perl-promise-es6-future-0.28-r0.apk | 2355 | 2024-Oct-25 19:10 |
| perl-promise-es6-io-async-0.28-r0.apk | 3038 | 2024-Oct-25 19:10 |
| perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2646 | 2024-Oct-25 19:10 |
| perl-protocol-database-postgresql-2.001-r0.apk | 19649 | 2024-Oct-25 19:10 |
| perl-protocol-database-postgresql-doc-2.001-r0.apk | 38457 | 2024-Oct-25 19:10 |
| perl-protocol-redis-1.0021-r0.apk | 5749 | 2024-Oct-25 19:10 |
| perl-protocol-redis-doc-1.0021-r0.apk | 5157 | 2024-Oct-25 19:10 |
| perl-protocol-redis-faster-0.003-r0.apk | 3512 | 2024-Oct-25 19:10 |
| perl-protocol-redis-faster-doc-0.003-r0.apk | 3411 | 2024-Oct-25 19:10 |
| perl-role-eventemitter-0.003-r0.apk | 3762 | 2024-Oct-25 19:10 |
| perl-role-eventemitter-doc-0.003-r0.apk | 4047 | 2024-Oct-25 19:10 |
| perl-rxperl-6.29.8-r0.apk | 26911 | 2024-Oct-25 19:10 |
| perl-rxperl-anyevent-6.8.1-r0.apk | 2799 | 2024-Oct-25 19:10 |
| perl-rxperl-anyevent-doc-6.8.1-r0.apk | 9177 | 2024-Oct-25 19:10 |
| perl-rxperl-doc-6.29.8-r0.apk | 23117 | 2024-Oct-25 19:10 |
| perl-rxperl-ioasync-6.9.1-r0.apk | 2898 | 2024-Oct-25 19:10 |
| perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9219 | 2024-Oct-25 19:10 |
| perl-rxperl-mojo-6.8.2-r0.apk | 2907 | 2024-Oct-25 19:10 |
| perl-rxperl-mojo-doc-6.8.2-r0.apk | 9274 | 2024-Oct-25 19:10 |
| perl-ryu-4.001-r0.apk | 26689 | 2024-Oct-25 19:10 |
| perl-ryu-async-0.020-r0.apk | 7688 | 2024-Oct-25 19:10 |
| perl-ryu-async-doc-0.020-r0.apk | 12105 | 2024-Oct-25 19:10 |
| perl-ryu-doc-4.001-r0.apk | 36244 | 2024-Oct-25 19:10 |
| perl-session-storage-secure-1.000-r2.apk | 9043 | 2024-Oct-25 19:10 |
| perl-session-storage-secure-doc-1.000-r2.apk | 7583 | 2024-Oct-25 19:10 |
| perl-soap-lite-1.27-r5.apk | 112865 | 2024-Oct-25 19:10 |
| perl-soap-lite-doc-1.27-r5.apk | 92637 | 2024-Oct-25 19:10 |
| perl-sort-naturally-1.03-r4.apk | 8881 | 2024-Oct-25 19:10 |
| perl-sort-naturally-doc-1.03-r4.apk | 5595 | 2024-Oct-25 19:10 |
| perl-sort-versions-1.62-r0.apk | 3831 | 2024-Oct-25 19:10 |
| perl-sort-versions-doc-1.62-r0.apk | 4244 | 2024-Oct-25 19:10 |
| perl-sql-abstract-classic-1.91-r1.apk | 30224 | 2024-Oct-25 19:10 |
| perl-sql-abstract-classic-doc-1.91-r1.apk | 20716 | 2024-Oct-25 19:10 |
| perl-starman-0.4017-r0.apk | 13759 | 2024-Oct-25 19:10 |
| perl-starman-doc-0.4017-r0.apk | 10246 | 2024-Oct-25 19:10 |
| perl-statistics-basic-1.6611-r0.apk | 9434 | 2024-Oct-25 19:10 |
| perl-statistics-basic-doc-1.6611-r0.apk | 50975 | 2024-Oct-25 19:10 |
| perl-statistics-descriptive-3.0801-r0.apk | 31030 | 2024-Oct-25 19:10 |
| perl-statistics-descriptive-doc-3.0801-r0.apk | 38404 | 2024-Oct-25 19:10 |
| perl-storable-improved-0.1.3-r0.apk | 6862 | 2024-Oct-25 19:10 |
| perl-storable-improved-doc-0.1.3-r0.apk | 7078 | 2024-Oct-25 19:10 |
| perl-string-camelcase-0.04-r2.apk | 3266 | 2024-Oct-25 19:10 |
| perl-string-camelcase-doc-0.04-r2.apk | 3546 | 2024-Oct-25 19:10 |
| perl-system-command-1.122-r0.apk | 12122 | 2024-Oct-25 19:10 |
| perl-system-command-doc-1.122-r0.apk | 10439 | 2024-Oct-25 19:10 |
| perl-template-plugin-csv-0.04-r3.apk | 2774 | 2024-Oct-25 19:10 |
| perl-template-plugin-csv-doc-0.04-r3.apk | 3105 | 2024-Oct-25 19:10 |
| perl-template-plugin-number-format-1.06-r4.apk | 5023 | 2024-Oct-25 19:10 |
| perl-template-plugin-number-format-doc-1.06-r4.apk | 4503 | 2024-Oct-25 19:10 |
| perl-term-ui-0.50-r1.apk | 10232 | 2024-Oct-25 19:10 |
| perl-term-ui-doc-0.50-r1.apk | 8705 | 2024-Oct-25 19:10 |
| perl-test-api-0.010-r2.apk | 5214 | 2024-Oct-25 19:10 |
| perl-test-api-doc-0.010-r2.apk | 4350 | 2024-Oct-25 19:10 |
| perl-test-class-tiny-0.03-r0.apk | 6017 | 2024-Oct-25 19:10 |
| perl-test-class-tiny-doc-0.03-r0.apk | 5571 | 2024-Oct-25 19:10 |
| perl-test-describeme-0.004-r0.apk | 3674 | 2024-Oct-25 19:10 |
| perl-test-describeme-doc-0.004-r0.apk | 4283 | 2024-Oct-25 19:10 |
| perl-test-distribution-2.00-r1.apk | 7939 | 2024-Oct-25 19:10 |
| perl-test-distribution-doc-2.00-r1.apk | 6225 | 2024-Oct-25 19:10 |
| perl-test-expander-2.5.1-r0.apk | 7281 | 2024-Oct-25 19:10 |
| perl-test-expander-doc-2.5.1-r0.apk | 20556 | 2024-Oct-25 19:10 |
| perl-test-files-0.26-r0.apk | 6894 | 2024-Oct-25 19:10 |
| perl-test-files-doc-0.26-r0.apk | 14948 | 2024-Oct-25 19:10 |
| perl-test-lwp-useragent-0.036-r0.apk | 10066 | 2024-Oct-25 19:10 |
| perl-test-lwp-useragent-doc-0.036-r0.apk | 8551 | 2024-Oct-25 19:10 |
| perl-test-memorygrowth-0.05-r0.apk | 6571 | 2024-Oct-25 19:10 |
| perl-test-memorygrowth-doc-0.05-r0.apk | 5412 | 2024-Oct-25 19:10 |
| perl-test-modern-0.013-r3.apk | 14976 | 2024-Oct-25 19:10 |
| perl-test-modern-doc-0.013-r3.apk | 10107 | 2024-Oct-25 19:10 |
| perl-test-randomresult-0.001-r0.apk | 3605 | 2024-Oct-25 19:10 |
| perl-test-randomresult-doc-0.001-r0.apk | 3766 | 2024-Oct-25 19:10 |
| perl-test-redisserver-0.23-r0.apk | 5096 | 2024-Oct-25 19:10 |
| perl-test-redisserver-doc-0.23-r0.apk | 4175 | 2024-Oct-25 19:10 |
| perl-test-requires-git-1.008-r0.apk | 4900 | 2024-Oct-25 19:10 |
| phoronix-test-suite-10.8.4-r2.apk | 4138368 | 2024-Oct-25 19:10 |
| phoronix-test-suite-doc-10.8.4-r2.apk | 294378 | 2024-Oct-25 19:10 |
| php81-pecl-amqp-2.1.2-r0.apk | 57744 | 2024-Oct-25 19:10 |
| php81-pecl-decimal-1.5.0-r1.apk | 19587 | 2024-Oct-25 19:10 |
| php81-pecl-event-3.1.4-r0.apk | 53009 | 2024-Oct-25 19:10 |
| php81-pecl-igbinary-3.2.16-r0.apk | 32810 | 2024-Oct-25 19:10 |
| php81-pecl-immutable_cache-6.1.0-r0.apk | 41004 | 2024-Oct-25 19:10 |
| php81-pecl-jsmin-3.0.0-r0.apk | 11222 | 2024-Oct-25 19:10 |
| php81-pecl-luasandbox-4.1.2-r0.apk | 31612 | 2024-Oct-25 19:10 |
| php81-pecl-lzf-1.7.0-r0.apk | 7883 | 2024-Oct-25 19:10 |
| php81-pecl-memcache-8.2-r1.apk | 45691 | 2024-Oct-25 19:10 |
| php82-pecl-teds-1.3.0-r0.apk | 131178 | 2024-Oct-25 19:10 |
| php81-pecl-msgpack-3.0.0-r0.apk | 27768 | 2024-Oct-25 19:10 |
| php81-pecl-psr-1.2.0-r0.apk | 19784 | 2024-Oct-25 19:10 |
| php81-pecl-ssh2-1.4.1-r0.apk | 29194 | 2024-Oct-25 19:10 |
| php81-pecl-uploadprogress-2.0.2-r1.apk | 7065 | 2024-Oct-25 19:10 |
| php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 10162 | 2024-Oct-25 19:10 |
| php81-pecl-xhprof-2.3.10-r0.apk | 13251 | 2024-Oct-25 19:10 |
| php81-pecl-xhprof-assets-2.3.10-r0.apk | 819824 | 2024-Oct-25 19:10 |
| php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 37760 | 2024-Oct-25 19:10 |
| php81-tideways_xhprof-5.0.4-r1.apk | 13044 | 2024-Oct-25 19:10 |
| php82-pdlib-1.1.0-r1.apk | 495148 | 2024-Oct-25 19:10 |
| php82-pecl-apfd-1.0.3-r0.apk | 4849 | 2024-Oct-25 19:10 |
| php82-pecl-immutable_cache-6.1.0-r0.apk | 41212 | 2024-Oct-25 19:10 |
| php83-pecl-apfd-1.0.3-r0.apk | 4856 | 2024-Oct-25 19:10 |
| php83-pecl-jsmin-3.0.0-r0.apk | 11222 | 2024-Oct-25 19:10 |
| php83-pecl-uv-0.3.0-r0.apk | 51957 | 2024-Oct-25 19:10 |
| php83-pecl-zmq-1.1.4-r0.apk | 32397 | 2024-Oct-25 19:10 |
| php82-pecl-jsmin-3.0.0-r0.apk | 11218 | 2024-Oct-25 19:10 |
| php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 31929 | 2024-Oct-25 19:10 |
| phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1816 | 2024-Oct-25 19:10 |
| pick-4.0.0-r0.apk | 10340 | 2024-Oct-25 19:10 |
| pick-doc-4.0.0-r0.apk | 3405 | 2024-Oct-25 19:10 |
| pidif-0.1-r1.apk | 157465 | 2024-Oct-25 19:10 |
| pixi-0.24.2-r0.apk | 9501879 | 2024-Oct-25 19:10 |
| pigpio-79-r4.apk | 224860 | 2024-Oct-25 19:10 |
| pigpio-dev-79-r4.apk | 92977 | 2024-Oct-25 19:10 |
| pigpio-doc-79-r4.apk | 118119 | 2024-Oct-25 19:10 |
| pigpio-openrc-79-r4.apk | 1662 | 2024-Oct-25 19:10 |
| pimd-3.0_git20220201-r0.apk | 86392 | 2024-Oct-25 19:10 |
| platformio-core-6.1.7-r3.apk | 268803 | 2024-Oct-25 19:10 |
| pimd-dense-2.1.0-r0.apk | 53860 | 2024-Oct-25 19:10 |
| pimd-dense-doc-2.1.0-r0.apk | 20149 | 2024-Oct-25 19:10 |
| pimd-dense-openrc-2.1.0-r0.apk | 1892 | 2024-Oct-25 19:10 |
| pimd-doc-3.0_git20220201-r0.apk | 35590 | 2024-Oct-25 19:10 |
| pimd-openrc-3.0_git20220201-r0.apk | 1662 | 2024-Oct-25 19:10 |
| pinephone-call-audio-0.1-r0.apk | 7486 | 2024-Oct-25 19:10 |
| pinephone-compass-0.4.0-r1.apk | 18733 | 2024-Oct-25 19:10 |
| piping-server-0.18.0-r0.apk | 1487395 | 2024-Oct-25 19:10 |
| piping-server-openrc-0.18.0-r0.apk | 1843 | 2024-Oct-25 19:10 |
| pithos-1.6.1-r0.apk | 106964 | 2024-Oct-25 19:10 |
| pithos-doc-1.6.1-r0.apk | 2138 | 2024-Oct-25 19:10 |
| pithos-pyc-1.6.1-r0.apk | 157984 | 2024-Oct-25 19:10 |
| pixi-zsh-completion-0.24.2-r0.apk | 10678 | 2024-Oct-25 19:10 |
| pixi-bash-completion-0.24.2-r0.apk | 7324 | 2024-Oct-25 19:10 |
| pixi-doc-0.24.2-r0.apk | 7035 | 2024-Oct-25 19:10 |
| pixi-fish-completion-0.24.2-r0.apk | 10320 | 2024-Oct-25 19:10 |
| platformio-core-pyc-6.1.7-r3.apk | 565021 | 2024-Oct-25 19:10 |
| powerstat-bash-completion-0.04.01-r0.apk | 2348 | 2024-Oct-25 19:10 |
| plib-1.8.5-r3.apk | 898594 | 2024-Oct-25 19:10 |
| plplot-5.15.0-r2.apk | 32111 | 2024-Oct-25 19:10 |
| plplot-dev-5.15.0-r2.apk | 60407 | 2024-Oct-25 19:10 |
| plplot-doc-5.15.0-r2.apk | 318024 | 2024-Oct-25 19:10 |
| plplot-libs-5.15.0-r2.apk | 196405 | 2024-Oct-25 19:10 |
| pmccabe-2.8-r1.apk | 25381 | 2024-Oct-25 19:10 |
| pmccabe-doc-2.8-r1.apk | 7318 | 2024-Oct-25 19:10 |
| pnmixer-0.7.2-r3.apk | 144966 | 2024-Oct-25 19:10 |
| pnmixer-doc-0.7.2-r3.apk | 2353 | 2024-Oct-25 19:10 |
| pnmixer-lang-0.7.2-r3.apk | 25400 | 2024-Oct-25 19:10 |
| pokoy-0.2.5-r0.apk | 9566 | 2024-Oct-25 19:10 |
| pokoy-doc-0.2.5-r0.apk | 3064 | 2024-Oct-25 19:10 |
| policycoreutils-3.6-r1.apk | 68794 | 2024-Oct-25 19:10 |
| policycoreutils-bash-completion-3.6-r1.apk | 2473 | 2024-Oct-25 19:10 |
| policycoreutils-doc-3.6-r1.apk | 22854 | 2024-Oct-25 19:10 |
| policycoreutils-lang-3.6-r1.apk | 107921 | 2024-Oct-25 19:10 |
| polyglot-2.0.4-r1.apk | 67611 | 2024-Oct-25 19:10 |
| polyglot-doc-2.0.4-r1.apk | 48737 | 2024-Oct-25 19:10 |
| pongoos-loader-0_git20210704-r1.apk | 2428 | 2024-Oct-25 19:10 |
| postgresql-hll-2.18-r0.apk | 26786 | 2024-Oct-25 19:10 |
| postgresql-hll-bitcode-2.18-r0.apk | 56272 | 2024-Oct-25 19:10 |
| postgresql-pg_later-0.0.14-r1.apk | 596966 | 2024-Oct-25 19:10 |
| postgresql-pgmq-1.1.1-r1.apk | 251218 | 2024-Oct-25 19:10 |
| postgresql16-wal2json-2.6-r0.apk | 69845 | 2024-Oct-25 19:10 |
| powder-toy-97.0.352-r1.apk | 824536 | 2024-Oct-25 19:10 |
| powerstat-0.04.01-r0.apk | 19034 | 2024-Oct-25 19:10 |
| powerstat-doc-0.04.01-r0.apk | 4365 | 2024-Oct-25 19:10 |
| pqiv-2.12-r1.apk | 65520 | 2024-Oct-25 19:10 |
| pqiv-doc-2.12-r1.apk | 12315 | 2024-Oct-25 19:10 |
| prjtrellis-1.4-r2.apk | 1252728 | 2024-Oct-25 19:10 |
| prjtrellis-db-0_git20230929-r0.apk | 3376 | 2024-Oct-25 19:10 |
| prjtrellis-db-ecp5-0_git20230929-r0.apk | 2236783 | 2024-Oct-25 19:10 |
| prjtrellis-db-machxo-0_git20230929-r0.apk | 40144 | 2024-Oct-25 19:10 |
| prjtrellis-db-machxo2-0_git20230929-r0.apk | 1037684 | 2024-Oct-25 19:10 |
| prjtrellis-db-machxo3-0_git20230929-r0.apk | 1117818 | 2024-Oct-25 19:10 |
| prjtrellis-db-machxo3d-0_git20230929-r0.apk | 765693 | 2024-Oct-25 19:10 |
| projectm-3.1.12-r2.apk | 444342 | 2024-Oct-25 19:10 |
| projectm-dev-3.1.12-r2.apk | 643892 | 2024-Oct-25 19:10 |
| prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2071 | 2024-Oct-25 19:10 |
| prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2698 | 2024-Oct-25 19:10 |
| prosody-modules-0.11_hg20201208-r0.apk | 1485 | 2024-Oct-25 19:10 |
| psftools-1.1.2-r0.apk | 347067 | 2024-Oct-25 19:10 |
| psftools-dev-1.1.2-r0.apk | 81304 | 2024-Oct-25 19:10 |
| psftools-doc-1.1.2-r0.apk | 61077 | 2024-Oct-25 19:10 |
| psi-notify-1.3.1-r0.apk | 10930 | 2024-Oct-25 19:10 |
| projectm-presets-3.1.12-r2.apk | 4571035 | 2024-Oct-25 19:10 |
| projectm-pulseaudio-3.1.12-r2.apk | 417873 | 2024-Oct-25 19:10 |
| projectm-pulseaudio-doc-3.1.12-r2.apk | 2053 | 2024-Oct-25 19:10 |
| projectm-sdl-3.1.12-r2.apk | 326028 | 2024-Oct-25 19:10 |
| projectsandcastle-loader-0_git20200307-r1.apk | 5092 | 2024-Oct-25 19:10 |
| proot-5.4.0-r1.apk | 73858 | 2024-Oct-25 19:10 |
| proot-doc-5.4.0-r1.apk | 10546 | 2024-Oct-25 19:10 |
| proot-static-5.4.0-r1.apk | 121630 | 2024-Oct-25 19:10 |
| prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2880 | 2024-Oct-25 19:10 |
| prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1848 | 2024-Oct-25 19:10 |
| prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2702 | 2024-Oct-25 19:10 |
| prosody-mod-block_registrations-0.11_hg20201208-..> | 1805 | 2024-Oct-25 19:10 |
| prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3183 | 2024-Oct-25 19:10 |
| prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1890 | 2024-Oct-25 19:10 |
| prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0..> | 2050 | 2024-Oct-25 19:10 |
| prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7387 | 2024-Oct-25 19:10 |
| prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3342 | 2024-Oct-25 19:10 |
| prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2896 | 2024-Oct-25 19:10 |
| prosody-mod-http_upload_external-0.11_hg20201208..> | 2958 | 2024-Oct-25 19:10 |
| prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2003 | 2024-Oct-25 19:10 |
| prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1803 | 2024-Oct-25 19:10 |
| prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2234 | 2024-Oct-25 19:10 |
| prosody-mod-mam-0.11_hg20201208-r0.apk | 6024 | 2024-Oct-25 19:10 |
| prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5684 | 2024-Oct-25 19:10 |
| prosody-mod-muc_cloud_notify-0.11_hg20201208-r0...> | 7024 | 2024-Oct-25 19:10 |
| prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3805 | 2024-Oct-25 19:10 |
| prosody-mod-register_json-0.11_hg20201208-r0.apk | 105987 | 2024-Oct-25 19:10 |
| prosody-mod-register_redirect-0.11_hg20201208-r0..> | 2770 | 2024-Oct-25 19:10 |
| prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2045 | 2024-Oct-25 19:10 |
| prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1748 | 2024-Oct-25 19:10 |
| prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0...> | 2061 | 2024-Oct-25 19:10 |
| prosody-mod-saslname-0.11_hg20201208-r0.apk | 1645 | 2024-Oct-25 19:10 |
| prosody-mod-server_status-0.11_hg20201208-r0.apk | 2842 | 2024-Oct-25 19:10 |
| prosody-mod-smacks-0.11_hg20201208-r0.apk | 8785 | 2024-Oct-25 19:10 |
| prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2082 | 2024-Oct-25 19:10 |
| prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2722 | 2024-Oct-25 19:10 |
| py3-altgraph-pyc-0.17.4-r1.apk | 29834 | 2024-Oct-25 19:10 |
| py3-ansi2html-1.9.2-r0.apk | 18065 | 2024-Oct-25 19:10 |
| py3-ansi2html-pyc-1.9.2-r0.apk | 22409 | 2024-Oct-25 19:10 |
| py3-anyascii-0.3.2-r1.apk | 281319 | 2024-Oct-25 19:10 |
| py3-anyascii-pyc-0.3.2-r1.apk | 3411 | 2024-Oct-25 19:10 |
| py3-apicula-0.11.1-r1.apk | 8891837 | 2024-Oct-25 19:10 |
| py3-apicula-pyc-0.11.1-r1.apk | 183787 | 2024-Oct-25 19:10 |
| py3-apio-0.9.5-r0.apk | 74095 | 2024-Oct-25 19:10 |
| py3-apio-pyc-0.9.5-r0.apk | 79053 | 2024-Oct-25 19:10 |
| py3-asif-0.3.2-r3.apk | 13665 | 2024-Oct-25 19:10 |
| py3-asif-pyc-0.3.2-r3.apk | 26506 | 2024-Oct-25 19:10 |
| py3-ask-0.0.8-r8.apk | 5122 | 2024-Oct-25 19:10 |
| py3-ask-pyc-0.0.8-r8.apk | 4582 | 2024-Oct-25 19:10 |
| py3-astral-3.2-r3.apk | 37916 | 2024-Oct-25 19:10 |
| py3-astral-pyc-3.2-r3.apk | 60336 | 2024-Oct-25 19:10 |
| py3-avro-1.11.3-r1.apk | 100016 | 2024-Oct-25 19:10 |
| py3-avro-pyc-1.11.3-r1.apk | 195955 | 2024-Oct-25 19:10 |
| py3-banal-1.0.6-r4.apk | 7043 | 2024-Oct-25 19:10 |
| py3-banal-pyc-1.0.6-r4.apk | 7384 | 2024-Oct-25 19:10 |
| py3-bandwidth-sdk-3.1.0-r8.apk | 47105 | 2024-Oct-25 19:10 |
| py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 70852 | 2024-Oct-25 19:10 |
| py3-barcodenumber-0.2.1-r10.apk | 16719 | 2024-Oct-25 19:10 |
| py3-barcodenumber-pyc-0.2.1-r10.apk | 4364 | 2024-Oct-25 19:10 |
| py3-bencode-4.0.0-r1.apk | 17501 | 2024-Oct-25 19:10 |
| py3-bencode-pyc-4.0.0-r1.apk | 10714 | 2024-Oct-25 19:10 |
| py3-bidict-0.23.1-r1.apk | 28384 | 2024-Oct-25 19:10 |
| py3-bidict-pyc-0.23.1-r1.apk | 29452 | 2024-Oct-25 19:10 |
| py3-bitstruct-8.19.0-r1.apk | 36404 | 2024-Oct-25 19:10 |
| py3-bitstruct-pyc-8.19.0-r1.apk | 13090 | 2024-Oct-25 19:10 |
| py3-bleak-0.22.3-r0.apk | 378689 | 2024-Oct-25 19:10 |
| py3-blockchain-1.4.4-r7.apk | 11234 | 2024-Oct-25 19:10 |
| py3-blockchain-pyc-1.4.4-r7.apk | 18289 | 2024-Oct-25 19:10 |
| py3-bottle-api-0.0.4-r7.apk | 4991 | 2024-Oct-25 19:10 |
| py3-bottle-api-pyc-0.0.4-r7.apk | 5342 | 2024-Oct-25 19:10 |
| py3-bottle-pgsql-0.2-r5.apk | 4375 | 2024-Oct-25 19:10 |
| py3-bottle-redis-0.2.3-r6.apk | 3380 | 2024-Oct-25 19:10 |
| py3-bottle-redis-pyc-0.2.3-r6.apk | 3203 | 2024-Oct-25 19:10 |
| py3-bottle-renderer-0.1.1-r9.apk | 4080 | 2024-Oct-25 19:10 |
| py3-bottle-renderer-pyc-0.1.1-r9.apk | 3825 | 2024-Oct-25 19:10 |
| py3-bottle-request-0.2.0-r9.apk | 3288 | 2024-Oct-25 19:10 |
| py3-bottle-request-pyc-0.2.0-r9.apk | 2660 | 2024-Oct-25 19:10 |
| py3-bottle-rest-0.6.0-r1.apk | 6256 | 2024-Oct-25 19:10 |
| py3-bottle-rest-pyc-0.6.0-r1.apk | 5301 | 2024-Oct-25 19:10 |
| py3-bottle-session-1.0-r6.apk | 10442 | 2024-Oct-25 19:10 |
| py3-bottle-session-pyc-1.0-r6.apk | 7982 | 2024-Oct-25 19:10 |
| py3-bottle-sqlalchemy-0.4.3-r8.apk | 4966 | 2024-Oct-25 19:10 |
| py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5770 | 2024-Oct-25 19:10 |
| py3-bottle-sqlite-0.2.0-r7.apk | 4811 | 2024-Oct-25 19:10 |
| py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5364 | 2024-Oct-25 19:10 |
| py3-bottle-websocket-0.2.9-r8.apk | 4752 | 2024-Oct-25 19:10 |
| py3-bottle-websocket-pyc-0.2.9-r8.apk | 3210 | 2024-Oct-25 19:10 |
| py3-bottle-werkzeug-0.1.1-r9.apk | 4192 | 2024-Oct-25 19:10 |
| py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4354 | 2024-Oct-25 19:10 |
| py3-bson-0.5.10-r6.apk | 11973 | 2024-Oct-25 19:10 |
| py3-bson-pyc-0.5.10-r6.apk | 18948 | 2024-Oct-25 19:10 |
| py3-businesstime-0.3.0-r9.apk | 10890 | 2024-Oct-25 19:10 |
| py3-businesstime-pyc-0.3.0-r9.apk | 16731 | 2024-Oct-25 19:10 |
| py3-c3d-0.5.2-r1.apk | 32847 | 2024-Oct-25 19:10 |
| py3-c3d-pyc-0.5.2-r1.apk | 54990 | 2024-Oct-25 19:10 |
| py3-cassandra-driver-3.29.2-r0.apk | 292705 | 2024-Oct-25 19:10 |
| py3-cassandra-driver-pyc-3.29.2-r0.apk | 573389 | 2024-Oct-25 19:10 |
| py3-catkin-pkg-0.5.2-r4.apk | 58519 | 2024-Oct-25 19:10 |
| py3-catkin-pkg-pyc-0.5.2-r4.apk | 105098 | 2024-Oct-25 19:10 |
| py3-cchardet-2.1.7-r5.apk | 126250 | 2024-Oct-25 19:10 |
| py3-cchardet-pyc-2.1.7-r5.apk | 3126 | 2024-Oct-25 19:10 |
| py3-certauth-1.3.0-r1.apk | 8918 | 2024-Oct-25 19:10 |
| py3-certauth-pyc-1.3.0-r1.apk | 9307 | 2024-Oct-25 19:10 |
| py3-class-doc-1.25-r1.apk | 6204 | 2024-Oct-25 19:10 |
| py3-class-doc-pyc-1.25-r1.apk | 8921 | 2024-Oct-25 19:10 |
| py3-click-completion-0.5.2-r1.apk | 11052 | 2024-Oct-25 19:10 |
| py3-click-completion-pyc-0.5.2-r1.apk | 14587 | 2024-Oct-25 19:10 |
| py3-click-default-group-1.2.4-r1.apk | 5271 | 2024-Oct-25 19:10 |
| py3-click-default-group-pyc-1.2.4-r1.apk | 4636 | 2024-Oct-25 19:10 |
| py3-click-threading-0.5.0-r5.apk | 6499 | 2024-Oct-25 19:10 |
| py3-click-threading-pyc-0.5.0-r5.apk | 8045 | 2024-Oct-25 19:10 |
| py3-clickclick-20.10.2-r4.apk | 8140 | 2024-Oct-25 19:10 |
| py3-clickclick-pyc-20.10.2-r4.apk | 10008 | 2024-Oct-25 19:10 |
| py3-cmd2-2.4.3-r2.apk | 142765 | 2024-Oct-25 19:10 |
| py3-cmd2-pyc-2.4.3-r2.apk | 227956 | 2024-Oct-25 19:10 |
| py3-cobs-1.2.0-r4.apk | 21272 | 2024-Oct-25 19:10 |
| py3-cobs-pyc-1.2.0-r4.apk | 12753 | 2024-Oct-25 19:10 |
| py3-colander-2.0-r2.apk | 63969 | 2024-Oct-25 19:10 |
| py3-colander-pyc-2.0-r2.apk | 43502 | 2024-Oct-25 19:10 |
| py3-colorthief-0.2.1-r1.apk | 7488 | 2024-Oct-25 19:10 |
| py3-colorthief-pyc-0.2.1-r1.apk | 10292 | 2024-Oct-25 19:10 |
| py3-columnize-0.3.11-r4.apk | 8730 | 2024-Oct-25 19:10 |
| py3-columnize-pyc-0.3.11-r4.apk | 7662 | 2024-Oct-25 19:10 |
| py3-compdb-0.2.0-r8.apk | 23863 | 2024-Oct-25 19:10 |
| py3-compdb-doc-0.2.0-r8.apk | 3115 | 2024-Oct-25 19:10 |
| py3-compdb-pyc-0.2.0-r8.apk | 40577 | 2024-Oct-25 19:10 |
| py3-cookiecutter-2.6.0-r1.apk | 36223 | 2024-Oct-25 19:10 |
| py3-cookiecutter-doc-2.6.0-r1.apk | 3815 | 2024-Oct-25 19:10 |
| py3-cookiecutter-pyc-2.6.0-r1.apk | 48636 | 2024-Oct-25 19:10 |
| py3-coreapi-2.3.3-r9.apk | 22762 | 2024-Oct-25 19:10 |
| py3-coreapi-pyc-2.3.3-r9.apk | 44306 | 2024-Oct-25 19:10 |
| py3-crc16-0.1.1-r10.apk | 12961 | 2024-Oct-25 19:10 |
| py3-crc16-pyc-0.1.1-r10.apk | 4815 | 2024-Oct-25 19:10 |
| py3-createrepo_c-1.1.4-r0.apk | 41945 | 2024-Oct-25 19:10 |
| py3-createrepo_c-pyc-1.1.4-r0.apk | 14863 | 2024-Oct-25 19:10 |
| py3-cssutils-2.11.1-r1.apk | 158926 | 2024-Oct-25 19:10 |
| py3-cssutils-pyc-2.11.1-r1.apk | 285335 | 2024-Oct-25 19:10 |
| py3-cvxpy-1.2.1-r5.apk | 672021 | 2024-Oct-25 19:10 |
| py3-cvxpy-pyc-1.2.1-r5.apk | 958548 | 2024-Oct-25 19:10 |
| py3-dataclasses-json-0.6.7-r0.apk | 28066 | 2024-Oct-25 19:10 |
| py3-dataclasses-json-pyc-0.6.7-r0.apk | 36511 | 2024-Oct-25 19:10 |
| py3-dataclasses-serialization-1.3.1-r3.apk | 11222 | 2024-Oct-25 19:10 |
| py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14615 | 2024-Oct-25 19:10 |
| py3-daterangestr-0.0.3-r8.apk | 4458 | 2024-Oct-25 19:10 |
| py3-daterangestr-pyc-0.0.3-r8.apk | 4324 | 2024-Oct-25 19:10 |
| py3-deluge-client-1.10.2-r0.apk | 13203 | 2024-Oct-25 19:10 |
| py3-deluge-client-doc-1.10.2-r0.apk | 2309 | 2024-Oct-25 19:10 |
| py3-deluge-client-pyc-1.10.2-r0.apk | 20125 | 2024-Oct-25 19:10 |
| py3-dexml-0.5.1-r9.apk | 22744 | 2024-Oct-25 19:10 |
| py3-dexml-pyc-0.5.1-r9.apk | 38391 | 2024-Oct-25 19:10 |
| py3-distorm3-3.5.2-r6.apk | 48049 | 2024-Oct-25 19:10 |
| py3-distorm3-pyc-3.5.2-r6.apk | 49822 | 2024-Oct-25 19:10 |
| py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 15007 | 2024-Oct-25 19:10 |
| py3-django-compress-staticfiles-pyc-1.0.1_beta0-..> | 15265 | 2024-Oct-25 19:10 |
| py3-django-suit-0.2.28-r8.apk | 374543 | 2024-Oct-25 19:10 |
| py3-django-suit-pyc-0.2.28-r8.apk | 33278 | 2024-Oct-25 19:10 |
| py3-django-taggit-serializer-0.1.7-r8.apk | 4122 | 2024-Oct-25 19:10 |
| py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5076 | 2024-Oct-25 19:10 |
| py3-doit-0.36.0-r5.apk | 78338 | 2024-Oct-25 19:10 |
| py3-doit-pyc-0.36.0-r5.apk | 136445 | 2024-Oct-25 19:10 |
| py3-dominate-2.9.1-r1.apk | 25345 | 2024-Oct-25 19:10 |
| py3-dominate-pyc-2.9.1-r1.apk | 34541 | 2024-Oct-25 19:10 |
| py3-dotty-dict-1.3.1-r4.apk | 8597 | 2024-Oct-25 19:10 |
| py3-dotty-dict-pyc-1.3.1-r4.apk | 8887 | 2024-Oct-25 19:10 |
| py3-dpath-2.2.0-r0.apk | 17434 | 2024-Oct-25 19:10 |
| py3-dpath-pyc-2.2.0-r0.apk | 18193 | 2024-Oct-25 19:10 |
| py3-duniterpy-1.1.1-r3.apk | 226634 | 2024-Oct-25 19:10 |
| py3-dweepy-0.3.0-r7.apk | 9308 | 2024-Oct-25 19:10 |
| py3-dweepy-pyc-0.3.0-r7.apk | 6409 | 2024-Oct-25 19:10 |
| py3-ecos-2.0.11-r4.apk | 28400 | 2024-Oct-25 19:10 |
| py3-ecos-pyc-2.0.11-r4.apk | 3719 | 2024-Oct-25 19:10 |
| py3-edalize-0.5.4-r0.apk | 125541 | 2024-Oct-25 19:10 |
| py3-edalize-pyc-0.5.4-r0.apk | 194736 | 2024-Oct-25 19:10 |
| py3-editdistance-s-1.0.0-r6.apk | 14864 | 2024-Oct-25 19:10 |
| py3-editdistance-s-pyc-1.0.0-r6.apk | 2075 | 2024-Oct-25 19:10 |
| py3-empy-3.3.4-r7.apk | 40263 | 2024-Oct-25 19:10 |
| py3-empy-pyc-3.3.4-r7.apk | 59898 | 2024-Oct-25 19:10 |
| py3-eradicate-2.3.0-r2.apk | 7747 | 2024-Oct-25 19:10 |
| py3-eradicate-doc-2.3.0-r2.apk | 2583 | 2024-Oct-25 19:10 |
| py3-eradicate-pyc-2.3.0-r2.apk | 8550 | 2024-Oct-25 19:10 |
| py3-euclid3-0.01-r8.apk | 14513 | 2024-Oct-25 19:10 |
| py3-euclid3-pyc-0.01-r8.apk | 33338 | 2024-Oct-25 19:10 |
| py3-fastdiff-0.3.0-r5.apk | 39358 | 2024-Oct-25 19:10 |
| py3-fastdiff-pyc-0.3.0-r5.apk | 4303 | 2024-Oct-25 19:10 |
| py3-feedgen-1.0.0-r1.apk | 41197 | 2024-Oct-25 19:10 |
| py3-feedgen-pyc-1.0.0-r1.apk | 63173 | 2024-Oct-25 19:10 |
| py3-feedgenerator-2.1.0-r2.apk | 18454 | 2024-Oct-25 19:10 |
| py3-feedgenerator-pyc-2.1.0-r2.apk | 27394 | 2024-Oct-25 19:10 |
| py3-firmata-1.0.3-r10.apk | 14525 | 2024-Oct-25 19:10 |
| py3-firmata-pyc-1.0.3-r10.apk | 21377 | 2024-Oct-25 19:10 |
| py3-flake8-blind-except-0.2.1-r4.apk | 5326 | 2024-Oct-25 19:10 |
| py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2652 | 2024-Oct-25 19:10 |
| py3-flake8-copyright-0.2.4-r3.apk | 18683 | 2024-Oct-25 19:10 |
| py3-flake8-copyright-pyc-0.2.4-r3.apk | 3414 | 2024-Oct-25 19:10 |
| py3-flake8-debugger-4.1.2-r4.apk | 6388 | 2024-Oct-25 19:10 |
| py3-flake8-debugger-pyc-4.1.2-r4.apk | 6107 | 2024-Oct-25 19:10 |
| py3-flake8-import-order-0.18.2-r4.apk | 15776 | 2024-Oct-25 19:10 |
| py3-flake8-import-order-pyc-0.18.2-r4.apk | 17175 | 2024-Oct-25 19:10 |
| py3-flake8-polyfill-1.0.2-r5.apk | 7240 | 2024-Oct-25 19:10 |
| py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5830 | 2024-Oct-25 19:10 |
| py3-flake8-print-5.0.0-r5.apk | 6883 | 2024-Oct-25 19:10 |
| py3-flake8-print-pyc-5.0.0-r5.apk | 4518 | 2024-Oct-25 19:10 |
| py3-flake8-snippets-0.2-r8.apk | 5452 | 2024-Oct-25 19:10 |
| py3-flake8-snippets-pyc-0.2-r8.apk | 3760 | 2024-Oct-25 19:10 |
| py3-flake8-todo-0.7-r7.apk | 3682 | 2024-Oct-25 19:10 |
| py3-flake8-todo-pyc-0.7-r7.apk | 2284 | 2024-Oct-25 19:10 |
| py3-flask-admin-1.6.1-r3.apk | 6838819 | 2024-Oct-25 19:10 |
| py3-flask-admin-pyc-1.6.1-r3.apk | 366896 | 2024-Oct-25 19:10 |
| py3-flask-autorouter-0.2.2-r3.apk | 5204 | 2024-Oct-25 19:10 |
| py3-flask-autorouter-pyc-0.2.2-r3.apk | 5069 | 2024-Oct-25 19:10 |
| py3-flask-basicauth-0.2.0-r9.apk | 5467 | 2024-Oct-25 19:10 |
| py3-flask-basicauth-pyc-0.2.0-r9.apk | 4173 | 2024-Oct-25 19:10 |
| py3-flask-bcrypt-1.0.1-r5.apk | 7314 | 2024-Oct-25 19:10 |
| py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5941 | 2024-Oct-25 19:10 |
| py3-flask-cache-0.13.1-r9.apk | 13081 | 2024-Oct-25 19:10 |
| py3-flask-cache-pyc-0.13.1-r9.apk | 18718 | 2024-Oct-25 19:10 |
| py3-flask-cdn-1.5.3-r8.apk | 4875 | 2024-Oct-25 19:10 |
| py3-flask-cdn-pyc-1.5.3-r8.apk | 4182 | 2024-Oct-25 19:10 |
| py3-flask-components-0.1.1-r9.apk | 4023 | 2024-Oct-25 19:10 |
| py3-flask-components-pyc-0.1.1-r9.apk | 3386 | 2024-Oct-25 19:10 |
| py3-flask-dbconfig-0.3.12-r8.apk | 87745 | 2024-Oct-25 19:10 |
| py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6403 | 2024-Oct-25 19:10 |
| py3-flask-gzip-0.2-r8.apk | 3259 | 2024-Oct-25 19:10 |
| py3-flask-gzip-pyc-0.2-r8.apk | 2892 | 2024-Oct-25 19:10 |
| py3-flask-headers-1.0-r9.apk | 3282 | 2024-Oct-25 19:10 |
| py3-flask-headers-pyc-1.0-r9.apk | 2513 | 2024-Oct-25 19:10 |
| py3-flask-httpauth-4.8.0-r2.apk | 8175 | 2024-Oct-25 19:10 |
| py3-flask-httpauth-pyc-4.8.0-r2.apk | 10844 | 2024-Oct-25 19:10 |
| py3-flask-json-schema-0.0.5-r4.apk | 4195 | 2024-Oct-25 19:10 |
| py3-flask-json-schema-pyc-0.0.5-r4.apk | 3518 | 2024-Oct-25 19:10 |
| py3-flask-loopback-1.4.7-r7.apk | 5704 | 2024-Oct-25 19:10 |
| py3-flask-loopback-pyc-1.4.7-r7.apk | 8113 | 2024-Oct-25 19:10 |
| py3-flask-mailman-1.1.1-r0.apk | 16864 | 2024-Oct-25 19:10 |
| py3-flask-mailman-pyc-1.1.1-r0.apk | 26356 | 2024-Oct-25 19:10 |
| py3-flask-markdown-0.3-r8.apk | 5751 | 2024-Oct-25 19:10 |
| py3-flask-markdown-pyc-0.3-r8.apk | 3950 | 2024-Oct-25 19:10 |
| py3-flask-paginate-0.8.1-r6.apk | 8410 | 2024-Oct-25 19:10 |
| py3-flask-paginate-pyc-0.8.1-r6.apk | 11464 | 2024-Oct-25 19:10 |
| py3-flask-peewee-3.0.6-r0.apk | 176171 | 2024-Oct-25 19:10 |
| py3-flask-peewee-pyc-3.0.6-r0.apk | 97764 | 2024-Oct-25 19:10 |
| py3-flask-restaction-0.25.3-r8.apk | 117604 | 2024-Oct-25 19:10 |
| py3-flask-restaction-pyc-0.25.3-r8.apk | 20290 | 2024-Oct-25 19:10 |
| py3-flask-restless-0.17.0-r9.apk | 41453 | 2024-Oct-25 19:10 |
| py3-flask-restless-pyc-0.17.0-r9.apk | 60481 | 2024-Oct-25 19:10 |
| py3-flask-themer-2.0.0-r2.apk | 8085 | 2024-Oct-25 19:10 |
| py3-flask-themer-pyc-2.0.0-r2.apk | 7139 | 2024-Oct-25 19:10 |
| psst-0_git20240526-r1.apk | 7617868 | 2024-Oct-25 19:10 |
| ptpd-2.3.1-r1.apk | 173831 | 2024-Oct-25 19:10 |
| ptpd-doc-2.3.1-r1.apk | 20768 | 2024-Oct-25 19:10 |
| ptpd-openrc-2.3.1-r1.apk | 2442 | 2024-Oct-25 19:10 |
| pully-1.0.0-r0.apk | 2583 | 2024-Oct-25 19:10 |
| pully-openrc-1.0.0-r0.apk | 1759 | 2024-Oct-25 19:10 |
| pulseview-0.4.2-r8.apk | 937494 | 2024-Oct-25 19:10 |
| pulseview-doc-0.4.2-r8.apk | 3747 | 2024-Oct-25 19:10 |
| pulumi-watch-0.1.5-r2.apk | 825534 | 2024-Oct-25 19:10 |
| purple-facebook-0.9.6-r0.apk | 77298 | 2024-Oct-25 19:10 |
| purple-hangouts-0_git20200422-r0.apk | 224505 | 2024-Oct-25 19:10 |
| pw-volume-0.5.0-r1.apk | 316479 | 2024-Oct-25 19:10 |
| pwauth-2.3.11-r2.apk | 3961 | 2024-Oct-25 19:10 |
| pwauth-doc-2.3.11-r2.apk | 6962 | 2024-Oct-25 19:10 |
| pxalarm-3.0.0-r0.apk | 2948 | 2024-Oct-25 19:10 |
| pxmenu-1.0.0-r1.apk | 2947 | 2024-Oct-25 19:10 |
| py-spy-0.3.14-r3.apk | 903994 | 2024-Oct-25 19:10 |
| py-spy-bash-completion-0.3.14-r3.apk | 2395 | 2024-Oct-25 19:10 |
| py-spy-doc-0.3.14-r3.apk | 2327 | 2024-Oct-25 19:10 |
| py-spy-fish-completion-0.3.14-r3.apk | 2633 | 2024-Oct-25 19:10 |
| py-spy-zsh-completion-0.3.14-r3.apk | 3102 | 2024-Oct-25 19:10 |
| py3-actdiag-3.0.0-r5.apk | 17685 | 2024-Oct-25 19:10 |
| py3-actdiag-pyc-3.0.0-r5.apk | 22001 | 2024-Oct-25 19:10 |
| py3-aiodocker-0.21.0-r1.apk | 30019 | 2024-Oct-25 19:10 |
| py3-aiodocker-pyc-0.21.0-r1.apk | 61835 | 2024-Oct-25 19:10 |
| py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 456617 | 2024-Oct-25 19:10 |
| py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 52415 | 2024-Oct-25 19:10 |
| py3-aiohttp-jinja2-1.6-r2.apk | 12683 | 2024-Oct-25 19:10 |
| py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9451 | 2024-Oct-25 19:10 |
| py3-aiohttp-session-2.12.1-r0.apk | 10684 | 2024-Oct-25 19:10 |
| py3-aiohttp-session-pyc-2.12.1-r0.apk | 15147 | 2024-Oct-25 19:10 |
| py3-aioopenssl-0.6.0-r4.apk | 21319 | 2024-Oct-25 19:10 |
| py3-aioopenssl-pyc-0.6.0-r4.apk | 19594 | 2024-Oct-25 19:10 |
| py3-aiosasl-0.5.0-r4.apk | 30294 | 2024-Oct-25 19:10 |
| py3-aiosasl-doc-0.5.0-r4.apk | 16850 | 2024-Oct-25 19:10 |
| py3-aiosasl-pyc-0.5.0-r4.apk | 24500 | 2024-Oct-25 19:10 |
| py3-aioxmpp-0.13.3-r3.apk | 396983 | 2024-Oct-25 19:10 |
| py3-aioxmpp-doc-0.13.3-r3.apk | 18778 | 2024-Oct-25 19:10 |
| py3-aioxmpp-pyc-0.13.3-r3.apk | 689276 | 2024-Oct-25 19:10 |
| py3-allfiles-1.0-r8.apk | 3654 | 2024-Oct-25 19:10 |
| py3-allfiles-pyc-1.0-r8.apk | 3344 | 2024-Oct-25 19:10 |
| py3-altgraph-0.17.4-r1.apk | 21222 | 2024-Oct-25 19:10 |
| py3-lib_users-0.15-r4.apk | 15996 | 2024-Oct-25 19:10 |
| py3-lib_users-pyc-0.15-r4.apk | 9739 | 2024-Oct-25 19:10 |
| py3-libcec-rpi-6.0.2-r4.apk | 109013 | 2024-Oct-25 19:10 |
| py3-libiio-0.25-r2.apk | 12872 | 2024-Oct-25 19:10 |
| py3-libmdbx-0.10.2-r7.apk | 28562 | 2024-Oct-25 19:10 |
| py3-libmdbx-pyc-0.10.2-r7.apk | 33604 | 2024-Oct-25 19:10 |
| py3-libnacl-2.1.0-r1.apk | 20801 | 2024-Oct-25 19:10 |
| py3-libnacl-pyc-2.1.0-r1.apk | 31077 | 2024-Oct-25 19:10 |
| py3-librtmp-0.3.0-r6.apk | 36831 | 2024-Oct-25 19:10 |
| py3-librtmp-pyc-0.3.0-r6.apk | 25145 | 2024-Oct-25 19:10 |
| py3-linkify-it-py-2.0.3-r1.apk | 21847 | 2024-Oct-25 19:10 |
| py3-linkify-it-py-pyc-2.0.3-r1.apk | 23986 | 2024-Oct-25 19:10 |
| py3-litex-hub-modules-2024.04-r0.apk | 1660 | 2024-Oct-25 19:10 |
| py3-litex-hub-modules-pyc-2024.04-r0.apk | 1161221 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-blackparrot-2024.04..> | 5823451 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0..> | 2024149 | 2024-Oct-25 19:10 |
| py3-forbiddenfruit-0.1.4-r2.apk | 9178 | 2024-Oct-25 19:10 |
| py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9891 | 2024-Oct-25 19:10 |
| py3-fpdf-1.7.2-r5.apk | 40667 | 2024-Oct-25 19:10 |
| py3-fpdf-pyc-1.7.2-r5.apk | 91319 | 2024-Oct-25 19:10 |
| py3-freetype-py-2.5.1-r0.apk | 164805 | 2024-Oct-25 19:10 |
| py3-geoip-1.3.2-r4.apk | 23093 | 2024-Oct-25 19:10 |
| py3-gevent-websocket-0.10.1-r8.apk | 20215 | 2024-Oct-25 19:10 |
| py3-gevent-websocket-pyc-0.10.1-r8.apk | 31090 | 2024-Oct-25 19:10 |
| py3-git-versioner-7.1-r1.apk | 12251 | 2024-Oct-25 19:10 |
| py3-git-versioner-pyc-7.1-r1.apk | 13838 | 2024-Oct-25 19:10 |
| py3-github3-4.0.1-r1.apk | 131343 | 2024-Oct-25 19:10 |
| py3-github3-pyc-4.0.1-r1.apk | 232426 | 2024-Oct-25 19:10 |
| py3-glob2-0.7-r6.apk | 10514 | 2024-Oct-25 19:10 |
| py3-glob2-pyc-0.7-r6.apk | 13222 | 2024-Oct-25 19:10 |
| py3-gls-1.3.1-r1.apk | 47892 | 2024-Oct-25 19:10 |
| py3-gls-pyc-1.3.1-r1.apk | 86153 | 2024-Oct-25 19:10 |
| py3-google-trans-new-1.1.9-r2.apk | 9452 | 2024-Oct-25 19:10 |
| py3-google-trans-new-pyc-1.1.9-r2.apk | 10833 | 2024-Oct-25 19:10 |
| py3-googletrans-3.0.0-r5.apk | 15848 | 2024-Oct-25 19:10 |
| py3-googletrans-pyc-3.0.0-r5.apk | 17843 | 2024-Oct-25 19:10 |
| py3-halo-0.0.31-r5.apk | 11739 | 2024-Oct-25 19:10 |
| py3-halo-pyc-0.0.31-r5.apk | 14255 | 2024-Oct-25 19:10 |
| py3-hatch-openzim-0.2.0-r0.apk | 25098 | 2024-Oct-25 19:10 |
| py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24761 | 2024-Oct-25 19:10 |
| py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12992 | 2024-Oct-25 19:10 |
| py3-hatch-openzim-pyc-0.2.0-r0.apk | 13307 | 2024-Oct-25 19:10 |
| py3-helper-2.5.0-r5.apk | 19224 | 2024-Oct-25 19:10 |
| py3-helper-pyc-2.5.0-r5.apk | 28853 | 2024-Oct-25 19:10 |
| py3-hg-git-1.1.1-r1.apk | 71778 | 2024-Oct-25 19:10 |
| py3-hg-git-pyc-1.1.1-r1.apk | 109355 | 2024-Oct-25 19:10 |
| py3-html5-parser-0.4.12-r1.apk | 170800 | 2024-Oct-25 19:10 |
| py3-html5-parser-pyc-0.4.12-r1.apk | 22808 | 2024-Oct-25 19:10 |
| py3-hurry.filesize-0.9-r8.apk | 4722 | 2024-Oct-25 19:10 |
| py3-hurry.filesize-pyc-0.9-r8.apk | 3333 | 2024-Oct-25 19:10 |
| py3-imageio-ffmpeg-0.4.9-r1.apk | 16884 | 2024-Oct-25 19:10 |
| py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20753 | 2024-Oct-25 19:10 |
| py3-imdbpy-2021.4.18-r5.apk | 234496 | 2024-Oct-25 19:10 |
| py3-imdbpy-pyc-2021.4.18-r5.apk | 248405 | 2024-Oct-25 19:10 |
| py3-incoming-0.3.1-r8.apk | 13137 | 2024-Oct-25 19:10 |
| py3-incoming-pyc-0.3.1-r8.apk | 20425 | 2024-Oct-25 19:10 |
| py3-infinity-1.5-r6.apk | 4488 | 2024-Oct-25 19:10 |
| py3-infinity-pyc-1.5-r6.apk | 3831 | 2024-Oct-25 19:10 |
| py3-iniparse-0.5-r7.apk | 19140 | 2024-Oct-25 19:10 |
| py3-iniparse-doc-0.5-r7.apk | 10589 | 2024-Oct-25 19:10 |
| py3-iniparse-pyc-0.5-r7.apk | 25212 | 2024-Oct-25 19:10 |
| py3-intervals-0.9.2-r5.apk | 9676 | 2024-Oct-25 19:10 |
| py3-intervals-pyc-0.9.2-r5.apk | 15531 | 2024-Oct-25 19:10 |
| py3-iso639-lang-2.2.3-r0.apk | 275440 | 2024-Oct-25 19:10 |
| py3-iso639-lang-pyc-2.2.3-r0.apk | 9931 | 2024-Oct-25 19:10 |
| py3-iterable-io-1.0.0-r0.apk | 6185 | 2024-Oct-25 19:10 |
| py3-iterable-io-pyc-1.0.0-r0.apk | 5378 | 2024-Oct-25 19:10 |
| py3-jaraco.path-3.7.2-r0.apk | 7804 | 2024-Oct-25 19:10 |
| py3-jaraco.path-pyc-3.7.2-r0.apk | 9752 | 2024-Oct-25 19:10 |
| py3-jaraco.versioning-1.1.0-r0.apk | 6051 | 2024-Oct-25 19:10 |
| py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6251 | 2024-Oct-25 19:10 |
| py3-junit-xml-1.9-r3.apk | 8543 | 2024-Oct-25 19:10 |
| py3-junit-xml-pyc-1.9-r3.apk | 9540 | 2024-Oct-25 19:10 |
| py3-kazoo-0_git20211202-r4.apk | 128027 | 2024-Oct-25 19:10 |
| py3-kazoo-pyc-0_git20211202-r4.apk | 250374 | 2024-Oct-25 19:10 |
| py3-keepalive-0.5-r5.apk | 9185 | 2024-Oct-25 19:10 |
| py3-keepalive-doc-0.5-r5.apk | 2039 | 2024-Oct-25 19:10 |
| py3-keepalive-pyc-0.5-r5.apk | 13360 | 2024-Oct-25 19:10 |
| py3-kerberos-1.3.1-r5.apk | 17832 | 2024-Oct-25 19:10 |
| py3-landlock-1.0.0_pre4-r2.apk | 8611 | 2024-Oct-25 19:10 |
| py3-landlock-pyc-1.0.0_pre4-r2.apk | 9751 | 2024-Oct-25 19:10 |
| py3-langcodes-3.3.0-r2.apk | 177705 | 2024-Oct-25 19:10 |
| py3-langcodes-pyc-3.3.0-r2.apk | 112361 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-microwatt-2024.04-r..> | 20402083 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-minerva-2024.04-r0...> | 45901 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 226715 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0..> | 7735 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0..> | 235824 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 59549450 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 691414 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0..> | 729738 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.0..> | 2521220 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0..> | 956551 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 512015 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10642019 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1890458 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 114797 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-cpu-marocchino-2024.04-..> | 212849 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0...> | 59338 | 2024-Oct-25 19:10 |
| py3-pyqrcode-doc-1.2.1-r0.apk | 4404 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-software-compiler_rt-20..> | 2308333 | 2024-Oct-25 19:10 |
| py3-litex-hub-pythondata-software-picolibc-2024...> | 4914191 | 2024-Oct-25 19:10 |
| py3-litex-hub-valentyusb-2024.04-r0.apk | 114196 | 2024-Oct-25 19:10 |
| py3-log-symbols-0.0.14-r5.apk | 4306 | 2024-Oct-25 19:10 |
| py3-log-symbols-pyc-0.0.14-r5.apk | 3141 | 2024-Oct-25 19:10 |
| py3-lsp-black-2.0.0-r1.apk | 7684 | 2024-Oct-25 19:10 |
| py3-lsp-black-pyc-2.0.0-r1.apk | 6523 | 2024-Oct-25 19:10 |
| py3-lsprotocol-2023.0.1-r1.apk | 71161 | 2024-Oct-25 19:10 |
| py3-lsprotocol-pyc-2023.0.1-r1.apk | 109689 | 2024-Oct-25 19:10 |
| py3-luhn-0.2.0-r9.apk | 4069 | 2024-Oct-25 19:10 |
| py3-luhn-pyc-0.2.0-r9.apk | 2693 | 2024-Oct-25 19:10 |
| py3-lunr-0.6.2-r4.apk | 33267 | 2024-Oct-25 19:10 |
| py3-lunr-pyc-0.6.2-r4.apk | 51836 | 2024-Oct-25 19:10 |
| py3-lzo-1.16-r1.apk | 17755 | 2024-Oct-25 19:10 |
| py3-lzo-pyc-1.16-r1.apk | 2018 | 2024-Oct-25 19:10 |
| py3-m2crypto-0.41.0-r2.apk | 195990 | 2024-Oct-25 19:10 |
| py3-m2crypto-pyc-0.41.0-r2.apk | 125546 | 2024-Oct-25 19:10 |
| py3-mando-0.7.1-r3.apk | 22671 | 2024-Oct-25 19:10 |
| py3-mando-doc-0.7.1-r3.apk | 4293 | 2024-Oct-25 19:10 |
| py3-mando-pyc-0.7.1-r3.apk | 36826 | 2024-Oct-25 19:10 |
| py3-mapbox-earcut-1.0.1-r2.apk | 61418 | 2024-Oct-25 19:10 |
| py3-markdown2-2.5.0-r0.apk | 48350 | 2024-Oct-25 19:10 |
| py3-markdown2-pyc-2.5.0-r0.apk | 77301 | 2024-Oct-25 19:10 |
| py3-marshmallow-enum-1.5.1-r7.apk | 5421 | 2024-Oct-25 19:10 |
| py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4560 | 2024-Oct-25 19:10 |
| py3-migen-0.9.2-r2.apk | 146035 | 2024-Oct-25 19:10 |
| py3-migen-pyc-0.9.2-r2.apk | 302743 | 2024-Oct-25 19:10 |
| py3-mnemonic-0.21-r0.apk | 97327 | 2024-Oct-25 19:10 |
| py3-mnemonic-doc-0.21-r0.apk | 2454 | 2024-Oct-25 19:10 |
| py3-mnemonic-pyc-0.21-r0.apk | 9923 | 2024-Oct-25 19:10 |
| py3-modbus-tk-1.1.1-r4.apk | 25362 | 2024-Oct-25 19:10 |
| py3-modbus-tk-pyc-1.1.1-r4.apk | 49650 | 2024-Oct-25 19:10 |
| py3-mopidy-jellyfin-1.0.4-r4.apk | 25131 | 2024-Oct-25 19:10 |
| py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 37523 | 2024-Oct-25 19:10 |
| py3-mopidy-mpd-3.3.0-r4.apk | 47118 | 2024-Oct-25 19:10 |
| py3-mopidy-mpd-pyc-3.3.0-r4.apk | 75589 | 2024-Oct-25 19:10 |
| py3-mopidy-spotify-5.0.0_alpha3-r0.apk | 24837 | 2024-Oct-25 19:10 |
| py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk | 40042 | 2024-Oct-25 19:10 |
| py3-mopidy-tidal-0.3.2-r6.apk | 25344 | 2024-Oct-25 19:10 |
| py3-mopidy-tidal-pyc-0.3.2-r6.apk | 36489 | 2024-Oct-25 19:10 |
| py3-more-properties-1.1.1-r3.apk | 7613 | 2024-Oct-25 19:10 |
| py3-more-properties-pyc-1.1.1-r3.apk | 8420 | 2024-Oct-25 19:10 |
| py3-moviepy-1.0.3-r6.apk | 96762 | 2024-Oct-25 19:10 |
| py3-moviepy-pyc-1.0.3-r6.apk | 159263 | 2024-Oct-25 19:10 |
| py3-natpmp-1.3.2-r1.apk | 9639 | 2024-Oct-25 19:10 |
| py3-natpmp-pyc-1.3.2-r1.apk | 10096 | 2024-Oct-25 19:10 |
| py3-ncclient-0.6.13-r5.apk | 69854 | 2024-Oct-25 19:10 |
| py3-ncclient-pyc-0.6.13-r5.apk | 109080 | 2024-Oct-25 19:10 |
| py3-netifaces2-0.0.22-r0.apk | 188842 | 2024-Oct-25 19:10 |
| py3-netifaces2-pyc-0.0.22-r0.apk | 9431 | 2024-Oct-25 19:10 |
| py3-nmap-0.7.1-r4.apk | 20839 | 2024-Oct-25 19:10 |
| py3-nmap-pyc-0.7.1-r4.apk | 26094 | 2024-Oct-25 19:10 |
| py3-nose-timer-1.0.1-r6.apk | 9600 | 2024-Oct-25 19:10 |
| py3-nose-timer-pyc-1.0.1-r6.apk | 10151 | 2024-Oct-25 19:10 |
| py3-notifymail-1.1-r8.apk | 7759 | 2024-Oct-25 19:10 |
| py3-notifymail-pyc-1.1-r8.apk | 5881 | 2024-Oct-25 19:10 |
| py3-nptyping-2.5.0-r3.apk | 21852 | 2024-Oct-25 19:10 |
| py3-nptyping-pyc-2.5.0-r3.apk | 32799 | 2024-Oct-25 19:10 |
| py3-ntplib-0.4.0-r5.apk | 7575 | 2024-Oct-25 19:10 |
| py3-ntplib-pyc-0.4.0-r5.apk | 8834 | 2024-Oct-25 19:10 |
| py3-nwdiag-3.0.0-r3.apk | 5155506 | 2024-Oct-25 19:10 |
| py3-nwdiag-pyc-3.0.0-r3.apk | 79747 | 2024-Oct-25 19:10 |
| py3-openapi-codec-1.3.2-r9.apk | 7830 | 2024-Oct-25 19:10 |
| py3-openapi-codec-pyc-1.3.2-r9.apk | 12017 | 2024-Oct-25 19:10 |
| py3-openssh-wrapper-0.5_git20130425-r4.apk | 8443 | 2024-Oct-25 19:10 |
| py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10675 | 2024-Oct-25 19:10 |
| py3-openwisp-utils-1.0.4-r4.apk | 509582 | 2024-Oct-25 19:10 |
| py3-openwisp-utils-pyc-1.0.4-r4.apk | 43280 | 2024-Oct-25 19:10 |
| py3-orderedmultidict-1.0.1-r7.apk | 12150 | 2024-Oct-25 19:10 |
| py3-orderedmultidict-pyc-1.0.1-r7.apk | 17616 | 2024-Oct-25 19:10 |
| py3-osqp-0.6.2-r6.apk | 103766 | 2024-Oct-25 19:10 |
| py3-osqp-dev-0.6.2-r6.apk | 50219 | 2024-Oct-25 19:10 |
| py3-osqp-pyc-0.6.2-r6.apk | 78349 | 2024-Oct-25 19:10 |
| py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10204 | 2024-Oct-25 19:10 |
| py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8419 | 2024-Oct-25 19:10 |
| py3-ovos-backend-client-1.0.0-r0.apk | 47018 | 2024-Oct-25 19:10 |
| py3-ovos-backend-client-pyc-1.0.0-r0.apk | 93238 | 2024-Oct-25 19:10 |
| py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 105444 | 2024-Oct-25 19:10 |
| py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 167448 | 2024-Oct-25 19:10 |
| py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 366364 | 2024-Oct-25 19:10 |
| py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 447178 | 2024-Oct-25 19:10 |
| py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 563432 | 2024-Oct-25 19:10 |
| py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 88105 | 2024-Oct-25 19:10 |
| py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 48097 | 2024-Oct-25 19:10 |
| py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 106055 | 2024-Oct-25 19:10 |
| py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8269 | 2024-Oct-25 19:10 |
| py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3482 | 2024-Oct-25 19:10 |
| py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4633 | 2024-Oct-25 19:10 |
| py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4477 | 2024-Oct-25 19:10 |
| py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10325 | 2024-Oct-25 19:10 |
| py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6931 | 2024-Oct-25 19:10 |
| py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8532 | 2024-Oct-25 19:10 |
| py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4206 | 2024-Oct-25 19:10 |
| py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12530 | 2024-Oct-25 19:10 |
| py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11676 | 2024-Oct-25 19:10 |
| py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9740 | 2024-Oct-25 19:10 |
| py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1...> | 5576 | 2024-Oct-25 19:10 |
| py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk | 4630 | 2024-Oct-25 19:10 |
| py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk | 4490 | 2024-Oct-25 19:10 |
| py3-pacparser-1.4.5-r1.apk | 390573 | 2024-Oct-25 19:10 |
| py3-pacparser-pyc-1.4.5-r1.apk | 4136 | 2024-Oct-25 19:10 |
| py3-padacioso-0.2.1-r0.apk | 11718 | 2024-Oct-25 19:10 |
| py3-padacioso-pyc-0.2.1-r0.apk | 12567 | 2024-Oct-25 19:10 |
| py3-pam-2.0.2-r2.apk | 11462 | 2024-Oct-25 19:10 |
| py3-pam-pyc-2.0.2-r2.apk | 13279 | 2024-Oct-25 19:10 |
| py3-pbkdf2-1.3-r7.apk | 6445 | 2024-Oct-25 19:10 |
| py3-pbkdf2-pyc-1.3-r7.apk | 7249 | 2024-Oct-25 19:10 |
| py3-pelican-4.9.1-r2.apk | 239331 | 2024-Oct-25 19:10 |
| py3-pelican-pyc-4.9.1-r2.apk | 150836 | 2024-Oct-25 19:10 |
| py3-pep8-naming-0.14.1-r0.apk | 10003 | 2024-Oct-25 19:10 |
| py3-pep8-naming-pyc-0.14.1-r0.apk | 13508 | 2024-Oct-25 19:10 |
| py3-phpserialize-1.3-r8.apk | 9066 | 2024-Oct-25 19:10 |
| py3-phpserialize-pyc-1.3-r8.apk | 10955 | 2024-Oct-25 19:10 |
| py3-phx-class-registry-5.0.0-r0.apk | 13459 | 2024-Oct-25 19:10 |
| py3-phx-class-registry-doc-5.0.0-r0.apk | 2275 | 2024-Oct-25 19:10 |
| py3-phx-class-registry-pyc-5.0.0-r0.apk | 17827 | 2024-Oct-25 19:10 |
| py3-piccata-2.0.3-r1.apk | 20564 | 2024-Oct-25 19:10 |
| py3-piccata-pyc-2.0.3-r1.apk | 35040 | 2024-Oct-25 19:10 |
| py3-pickle-secure-0.99.9-r1.apk | 7682 | 2024-Oct-25 19:10 |
| py3-pickle-secure-pyc-0.99.9-r1.apk | 5485 | 2024-Oct-25 19:10 |
| py3-pigpio-79-r4.apk | 95382 | 2024-Oct-25 19:10 |
| py3-pillow_heif-0.18.0-r0.apk | 44365 | 2024-Oct-25 19:10 |
| py3-pillow_heif-pyc-0.18.0-r0.apk | 36387 | 2024-Oct-25 19:10 |
| py3-pip-system-certs-4.0-r1.apk | 7155 | 2024-Oct-25 19:10 |
| py3-pip-system-certs-pyc-4.0-r1.apk | 4769 | 2024-Oct-25 19:10 |
| py3-playsound-1.3.0-r1.apk | 7028 | 2024-Oct-25 19:10 |
| py3-playsound-pyc-1.3.0-r1.apk | 8750 | 2024-Oct-25 19:10 |
| py3-pockethernet-0.7.0-r4.apk | 15137 | 2024-Oct-25 19:10 |
| py3-pockethernet-pyc-0.7.0-r4.apk | 25811 | 2024-Oct-25 19:10 |
| py3-pprintpp-0.4.0-r1.apk | 14239 | 2024-Oct-25 19:10 |
| py3-pprintpp-pyc-0.4.0-r1.apk | 16667 | 2024-Oct-25 19:10 |
| py3-print-color-0.4.6-r0.apk | 9072 | 2024-Oct-25 19:10 |
| py3-print-color-doc-0.4.6-r0.apk | 2683 | 2024-Oct-25 19:10 |
| py3-print-color-pyc-0.4.6-r0.apk | 5089 | 2024-Oct-25 19:10 |
| py3-proglog-0.1.10-r2.apk | 7555 | 2024-Oct-25 19:10 |
| py3-proglog-pyc-0.1.10-r2.apk | 10157 | 2024-Oct-25 19:10 |
| py3-pure_protobuf-3.1.2-r0.apk | 21628 | 2024-Oct-25 19:10 |
| py3-pure_protobuf-pyc-3.1.2-r0.apk | 38585 | 2024-Oct-25 19:10 |
| py3-py-radix-0.10.0-r10.apk | 20162 | 2024-Oct-25 19:10 |
| py3-py-radix-pyc-0.10.0-r10.apk | 10882 | 2024-Oct-25 19:10 |
| py3-pyatem-0.5.0-r4.apk | 54144 | 2024-Oct-25 19:10 |
| py3-pyatem-pyc-0.5.0-r4.apk | 93817 | 2024-Oct-25 19:10 |
| py3-pyautogui-0.9.53-r5.apk | 35911 | 2024-Oct-25 19:10 |
| py3-pyautogui-pyc-0.9.53-r5.apk | 46362 | 2024-Oct-25 19:10 |
| py3-pybars3-0.9.7-r6.apk | 15198 | 2024-Oct-25 19:10 |
| py3-pybars3-pyc-0.9.7-r6.apk | 17244 | 2024-Oct-25 19:10 |
| py3-pycaption-2.2.15-r0.apk | 368713 | 2024-Oct-25 19:10 |
| py3-pycolorterm-0.2.1-r6.apk | 5589 | 2024-Oct-25 19:10 |
| py3-pycolorterm-pyc-0.2.1-r6.apk | 3818 | 2024-Oct-25 19:10 |
| py3-pycosat-0.6.6-r2.apk | 46355 | 2024-Oct-25 19:10 |
| py3-pydes-2.0.1-r5.apk | 10940 | 2024-Oct-25 19:10 |
| py3-pydes-doc-2.0.1-r5.apk | 3731 | 2024-Oct-25 19:10 |
| py3-pydes-pyc-2.0.1-r5.apk | 13493 | 2024-Oct-25 19:10 |
| py3-pygfm-2.0.0-r2.apk | 13034 | 2024-Oct-25 19:10 |
| py3-pygfm-pyc-2.0.0-r2.apk | 13519 | 2024-Oct-25 19:10 |
| py3-pygpgme-0.3.1-r9.apk | 37331 | 2024-Oct-25 19:10 |
| py3-pygpgme-pyc-0.3.1-r9.apk | 5188 | 2024-Oct-25 19:10 |
| py3-pygtail-0.14.0-r3.apk | 15346 | 2024-Oct-25 19:10 |
| py3-pygtail-pyc-0.14.0-r3.apk | 10334 | 2024-Oct-25 19:10 |
| py3-pyinstaller-6.6.0-r0.apk | 1981711 | 2024-Oct-25 19:10 |
| py3-pyinstaller-pyc-6.6.0-r0.apk | 514305 | 2024-Oct-25 19:10 |
| py3-pyisbn-1.3.1-r3.apk | 20936 | 2024-Oct-25 19:10 |
| py3-pyisbn-pyc-1.3.1-r3.apk | 9635 | 2024-Oct-25 19:10 |
| py3-pylru-1.2.1-r1.apk | 16967 | 2024-Oct-25 19:10 |
| py3-pylru-pyc-1.2.1-r1.apk | 9159 | 2024-Oct-25 19:10 |
| py3-pymaging-0.0.20130908-r10.apk | 18421 | 2024-Oct-25 19:10 |
| py3-pymaging-png-0.0.20130727-r10.apk | 35856 | 2024-Oct-25 19:10 |
| py3-pymaging-png-pyc-0.0.20130727-r10.apk | 53169 | 2024-Oct-25 19:10 |
| py3-pymaging-pyc-0.0.20130908-r10.apk | 32435 | 2024-Oct-25 19:10 |
| py3-pymata-2.20-r4.apk | 23040 | 2024-Oct-25 19:10 |
| py3-pymata-pyc-2.20-r4.apk | 30101 | 2024-Oct-25 19:10 |
| py3-pymata4-1.15-r4.apk | 23600 | 2024-Oct-25 19:10 |
| py3-pymata4-pyc-1.15-r4.apk | 31565 | 2024-Oct-25 19:10 |
| py3-pymeta3-0.5.1-r6.apk | 17146 | 2024-Oct-25 19:10 |
| py3-pymeta3-pyc-0.5.1-r6.apk | 32305 | 2024-Oct-25 19:10 |
| py3-pymsgbox-1.0.9-r5.apk | 9380 | 2024-Oct-25 19:10 |
| py3-pymsgbox-pyc-1.0.9-r5.apk | 10081 | 2024-Oct-25 19:10 |
| py3-pyparted-3.13.0-r1.apk | 79628 | 2024-Oct-25 19:10 |
| py3-pyparted-pyc-3.13.0-r1.apk | 43182 | 2024-Oct-25 19:10 |
| py3-pypubsub-4.0.3-r0.apk | 53645 | 2024-Oct-25 19:10 |
| py3-pypubsub-doc-4.0.3-r0.apk | 2193 | 2024-Oct-25 19:10 |
| py3-pypubsub-pyc-4.0.3-r0.apk | 92069 | 2024-Oct-25 19:10 |
| py3-pyqrcode-1.2.1-r0.apk | 37434 | 2024-Oct-25 19:10 |
| py3-pyqrcode-pyc-1.2.1-r0.apk | 48406 | 2024-Oct-25 19:10 |
| py3-pyrebase-3.0.27-r5.apk | 9854 | 2024-Oct-25 19:10 |
| py3-pyrebase-pyc-3.0.27-r5.apk | 17976 | 2024-Oct-25 19:10 |
| py3-pyroma-4.2-r0.apk | 22395 | 2024-Oct-25 19:10 |
| py3-pyroma-pyc-4.2-r0.apk | 26576 | 2024-Oct-25 19:10 |
| py3-pyscreeze-0.1.29-r3.apk | 14447 | 2024-Oct-25 19:10 |
| py3-pyscreeze-pyc-0.1.29-r3.apk | 14532 | 2024-Oct-25 19:10 |
| py3-pysimplesoap-1.16.2-r7.apk | 44714 | 2024-Oct-25 19:10 |
| py3-pysimplesoap-pyc-1.16.2-r7.apk | 80046 | 2024-Oct-25 19:10 |
| py3-pyspinel-1.0.3-r1.apk | 57358 | 2024-Oct-25 19:10 |
| py3-pyspinel-pyc-1.0.3-r1.apk | 64552 | 2024-Oct-25 19:10 |
| py3-pystache-0.6.5-r1.apk | 69741 | 2024-Oct-25 19:10 |
| py3-pystache-pyc-0.6.5-r1.apk | 98985 | 2024-Oct-25 19:10 |
| py3-pytap2-2.3.0-r0.apk | 7137 | 2024-Oct-25 19:10 |
| py3-pytap2-doc-2.3.0-r0.apk | 2862 | 2024-Oct-25 19:10 |
| py3-pytap2-pyc-2.3.0-r0.apk | 6130 | 2024-Oct-25 19:10 |
| py3-pytest-expect-1.1.0-r10.apk | 6037 | 2024-Oct-25 19:10 |
| py3-pytest-expect-pyc-1.1.0-r10.apk | 7123 | 2024-Oct-25 19:10 |
| py3-pytest-home-0.6.0-r0.apk | 4642 | 2024-Oct-25 19:10 |
| py3-pytest-home-pyc-0.6.0-r0.apk | 2868 | 2024-Oct-25 19:10 |
| py3-pytest-html-4.1.1-r1.apk | 22141 | 2024-Oct-25 19:10 |
| py3-pytest-html-pyc-4.1.1-r1.apk | 22276 | 2024-Oct-25 19:10 |
| py3-pytest-metadata-3.1.1-r0.apk | 10438 | 2024-Oct-25 19:10 |
| py3-pytest-metadata-pyc-3.1.1-r0.apk | 8086 | 2024-Oct-25 19:10 |
| py3-pytest-subprocess-1.5.2-r0.apk | 19977 | 2024-Oct-25 19:10 |
| py3-pytest-subprocess-pyc-1.5.2-r0.apk | 25102 | 2024-Oct-25 19:10 |
| py3-python-archive-0.2-r7.apk | 7574 | 2024-Oct-25 19:10 |
| py3-python-archive-pyc-0.2-r7.apk | 9575 | 2024-Oct-25 19:10 |
| py3-python-iptables-1.0.1-r1.apk | 40087 | 2024-Oct-25 19:10 |
| py3-python-iptables-pyc-1.0.1-r1.apk | 69535 | 2024-Oct-25 19:10 |
| py3-python-logstash-0.4.8-r4.apk | 8806 | 2024-Oct-25 19:10 |
| py3-python-logstash-doc-0.4.8-r4.apk | 2311 | 2024-Oct-25 19:10 |
| py3-python-logstash-pyc-0.4.8-r4.apk | 8618 | 2024-Oct-25 19:10 |
| py3-python-stdnum-1.20-r0.apk | 825052 | 2024-Oct-25 19:10 |
| py3-python-stdnum-pyc-1.20-r0.apk | 300541 | 2024-Oct-25 19:10 |
| py3-qasync-0.19.0-r2.apk | 37750 | 2024-Oct-25 19:10 |
| py3-qdldl-0.1.5-r4.apk | 92636 | 2024-Oct-25 19:10 |
| py3-qpageview-0.6.2-r1.apk | 100400 | 2024-Oct-25 19:10 |
| py3-qpageview-doc-0.6.2-r1.apk | 57643 | 2024-Oct-25 19:10 |
| py3-qpageview-pyc-0.6.2-r1.apk | 184549 | 2024-Oct-25 19:10 |
| py3-qt.py-1.3.10-r1.apk | 33476 | 2024-Oct-25 19:10 |
| py3-qt.py-pyc-1.3.10-r1.apk | 25280 | 2024-Oct-25 19:10 |
| py3-quebra-frases-0.3.7-r1.apk | 9025 | 2024-Oct-25 19:10 |
| py3-quebra-frases-pyc-0.3.7-r1.apk | 8005 | 2024-Oct-25 19:10 |
| py3-rabbit-1.1.0-r8.apk | 11202 | 2024-Oct-25 19:10 |
| py3-rabbit-pyc-1.1.0-r8.apk | 15860 | 2024-Oct-25 19:10 |
| py3-radon-6.0.1-r2.apk | 32276 | 2024-Oct-25 19:10 |
| py3-radon-doc-6.0.1-r2.apk | 5267 | 2024-Oct-25 19:10 |
| py3-radon-pyc-6.0.1-r2.apk | 50755 | 2024-Oct-25 19:10 |
| py3-recommonmark-0.7.1-r4.apk | 12023 | 2024-Oct-25 19:10 |
| py3-recommonmark-pyc-0.7.1-r4.apk | 17928 | 2024-Oct-25 19:10 |
| py3-redmine-2.5.0-r0.apk | 37665 | 2024-Oct-25 19:10 |
| py3-redmine-pyc-2.5.0-r0.apk | 54878 | 2024-Oct-25 19:10 |
| py3-requests-wsgi-adapter-0.4.1-r1.apk | 5644 | 2024-Oct-25 19:10 |
| py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6758 | 2024-Oct-25 19:10 |
| py3-rfc-bibtex-0.3.2-r7.apk | 13177 | 2024-Oct-25 19:10 |
| py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12744 | 2024-Oct-25 19:10 |
| py3-rich-click-1.7.3-r1.apk | 31473 | 2024-Oct-25 19:10 |
| py3-rich-click-pyc-1.7.3-r1.apk | 41301 | 2024-Oct-25 19:10 |
| py3-riotctrl-0.5.0-r4.apk | 13351 | 2024-Oct-25 19:10 |
| py3-riotctrl-pyc-0.5.0-r4.apk | 10968 | 2024-Oct-25 19:10 |
| py3-rosdistro-0.9.0-r3.apk | 48195 | 2024-Oct-25 19:10 |
| py3-rosdistro-pyc-0.9.0-r3.apk | 93451 | 2024-Oct-25 19:10 |
| py3-rospkg-1.2.9-r5.apk | 29491 | 2024-Oct-25 19:10 |
| py3-rospkg-pyc-1.2.9-r5.apk | 55426 | 2024-Oct-25 19:10 |
| py3-rpio-0.10.1-r8.apk | 40223 | 2024-Oct-25 19:10 |
| py3-rpio-pyc-0.10.1-r8.apk | 16332 | 2024-Oct-25 19:10 |
| py3-rst-0.1-r9.apk | 5697 | 2024-Oct-25 19:10 |
| py3-rst-pyc-0.1-r9.apk | 6257 | 2024-Oct-25 19:10 |
| py3-rst.linker-2.6.0-r0.apk | 6240 | 2024-Oct-25 19:10 |
| py3-rst.linker-pyc-2.6.0-r0.apk | 6807 | 2024-Oct-25 19:10 |
| py3-rst2ansi-0.1.5-r0.apk | 12454 | 2024-Oct-25 19:10 |
| py3-rst2ansi-doc-0.1.5-r0.apk | 2288 | 2024-Oct-25 19:10 |
| py3-rst2ansi-pyc-0.1.5-r0.apk | 24546 | 2024-Oct-25 19:10 |
| py3-scour-0.38.2-r1.apk | 57744 | 2024-Oct-25 19:10 |
| py3-scour-pyc-0.38.2-r1.apk | 75541 | 2024-Oct-25 19:10 |
| py3-scrapy-2.11.1-r1.apk | 245740 | 2024-Oct-25 19:10 |
| py3-scrapy-pyc-2.11.1-r1.apk | 493584 | 2024-Oct-25 19:10 |
| py3-scs-3.2.3-r4.apk | 99957 | 2024-Oct-25 19:10 |
| py3-scs-pyc-3.2.3-r4.apk | 5042 | 2024-Oct-25 19:10 |
| py3-seqdiag-3.0.0-r5.apk | 2581805 | 2024-Oct-25 19:10 |
| py3-seqdiag-pyc-3.0.0-r5.apk | 43248 | 2024-Oct-25 19:10 |
| py3-setuptools-lint-0.6.0-r9.apk | 5555 | 2024-Oct-25 19:10 |
| py3-setuptools-lint-pyc-0.6.0-r9.apk | 6152 | 2024-Oct-25 19:10 |
| py3-shodan-1.31.0-r1.apk | 45153 | 2024-Oct-25 19:10 |
| py3-shodan-doc-1.31.0-r1.apk | 7370 | 2024-Oct-25 19:10 |
| py3-shodan-pyc-1.31.0-r1.apk | 81050 | 2024-Oct-25 19:10 |
| py3-simplematch-1.4-r1.apk | 8190 | 2024-Oct-25 19:10 |
| py3-simplematch-pyc-1.4-r1.apk | 5939 | 2024-Oct-25 19:10 |
| py3-simplesat-0.8.2-r0.apk | 219164 | 2024-Oct-25 19:10 |
| py3-simplesat-pyc-0.8.2-r0.apk | 160327 | 2024-Oct-25 19:10 |
| py3-simplesoapy-1.5.1-r7.apk | 8053 | 2024-Oct-25 19:10 |
| py3-simplesoapy-pyc-1.5.1-r7.apk | 12056 | 2024-Oct-25 19:10 |
| py3-simplespectral-1.0.0-r5.apk | 7683 | 2024-Oct-25 19:10 |
| py3-simplespectral-pyc-1.0.0-r5.apk | 8272 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3223 | 2024-Oct-25 19:10 |
| py3-slixmpp-1.8.5-r2.apk | 392104 | 2024-Oct-25 19:10 |
| py3-slixmpp-doc-1.8.5-r2.apk | 5945 | 2024-Oct-25 19:10 |
| py3-slixmpp-pyc-1.8.5-r2.apk | 746994 | 2024-Oct-25 19:10 |
| py3-snapshottest-0.6.0-r5.apk | 15478 | 2024-Oct-25 19:10 |
| py3-snapshottest-pyc-0.6.0-r5.apk | 26348 | 2024-Oct-25 19:10 |
| py3-soapy_power-1.6.1-r5.apk | 17913 | 2024-Oct-25 19:10 |
| py3-soapy_power-pyc-1.6.1-r5.apk | 27161 | 2024-Oct-25 19:10 |
| py3-sortedcollections-2.1.0-r5.apk | 10917 | 2024-Oct-25 19:10 |
| py3-sortedcollections-pyc-2.1.0-r5.apk | 14200 | 2024-Oct-25 19:10 |
| py3-spake2-0.9-r0.apk | 30863 | 2024-Oct-25 19:10 |
| py3-spake2-pyc-0.9-r0.apk | 44555 | 2024-Oct-25 19:10 |
| py3-sphinx-argparse-0.5.2-r0.apk | 14523 | 2024-Oct-25 19:10 |
| py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22652 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-better-0.1.5-r7.apk | 10777 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2031 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1212511 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2400 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-bw-0.1.8-r7.apk | 66317 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1879 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-cloud-1.10.0-r2.apk | 83259 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 43801 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-epfl-1.1.1-r9.apk | 30570 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2532 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2564871 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5642 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-readable-1.3.0-r9.apk | 9032 | 2024-Oct-25 19:10 |
| py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2260 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7814 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9501 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-adadomain-0.2-r9.apk | 9222 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12265 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5812 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4184 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7724 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9371 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5867 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4351 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 18354 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 34305 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9337 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3566 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-gist-0.1.0-r9.apk | 4009 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3414 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-git-11.0.0-r7.apk | 17543 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6782 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 8054 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7806 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10805 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15395 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18532 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4441 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 34701 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1...> | 21882 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930..> | 44888 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10787 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15441 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11366 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 12237 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-lassodomain-0.4-r8.apk | 8114 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10851 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-manpage-0.6-r8.apk | 4236 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7832 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9521 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7521 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 5048 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6253 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5789 | 2024-Oct-25 19:10 |
| py3-spidev-3.6-r1.apk | 14449 | 2024-Oct-25 19:10 |
| py3-spin-0.8-r0.apk | 18963 | 2024-Oct-25 19:10 |
| py3-spin-pyc-0.8-r0.apk | 25074 | 2024-Oct-25 19:10 |
| py3-spinners-0.0.24-r5.apk | 6235 | 2024-Oct-25 19:10 |
| py3-spinners-pyc-0.0.24-r5.apk | 6401 | 2024-Oct-25 19:10 |
| py3-sstash-0.17-r9.apk | 7910 | 2024-Oct-25 19:10 |
| py3-sstash-pyc-0.17-r9.apk | 10534 | 2024-Oct-25 19:10 |
| py3-swagger-ui-bundle-1.1.0-r1.apk | 2602516 | 2024-Oct-25 19:10 |
| py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2157 | 2024-Oct-25 19:10 |
| py3-tailer-0.4.1-r7.apk | 7033 | 2024-Oct-25 19:10 |
| py3-tailer-pyc-0.4.1-r7.apk | 6793 | 2024-Oct-25 19:10 |
| py3-tasklib-2.5.1-r2.apk | 23654 | 2024-Oct-25 19:10 |
| py3-tasklib-pyc-2.5.1-r2.apk | 52764 | 2024-Oct-25 19:10 |
| py3-telegram-text-0.2.0-r1.apk | 9414 | 2024-Oct-25 19:10 |
| py3-telegram-text-pyc-0.2.0-r1.apk | 13264 | 2024-Oct-25 19:10 |
| py3-telemetrix-1.20-r3.apk | 21439 | 2024-Oct-25 19:10 |
| py3-telemetrix-pyc-1.20-r3.apk | 31787 | 2024-Oct-25 19:10 |
| py3-teletype-1.3.4-r3.apk | 15777 | 2024-Oct-25 19:10 |
| py3-teletype-pyc-1.3.4-r3.apk | 21018 | 2024-Oct-25 19:10 |
| py3-testresources-2.0.1-r6.apk | 17766 | 2024-Oct-25 19:10 |
| py3-testresources-pyc-2.0.1-r6.apk | 16333 | 2024-Oct-25 19:10 |
| py3-thefuzz-0.22.1-r1.apk | 10252 | 2024-Oct-25 19:10 |
| py3-thefuzz-pyc-0.22.1-r1.apk | 9164 | 2024-Oct-25 19:10 |
| py3-ticket-auth-0.1.4-r9.apk | 6096 | 2024-Oct-25 19:10 |
| py3-ticket-auth-pyc-0.1.4-r9.apk | 6529 | 2024-Oct-25 19:10 |
| py3-timeago-1.0.16-r0.apk | 24165 | 2024-Oct-25 19:10 |
| py3-timeago-doc-1.0.16-r0.apk | 2920 | 2024-Oct-25 19:10 |
| py3-timeago-pyc-1.0.16-r0.apk | 28321 | 2024-Oct-25 19:10 |
| py3-tlslite-ng-0.7.6-r8.apk | 182788 | 2024-Oct-25 19:10 |
| py3-tlslite-ng-pyc-0.7.6-r8.apk | 281364 | 2024-Oct-25 19:10 |
| py3-tpm2-pytss-2.3.0-r1.apk | 270405 | 2024-Oct-25 19:10 |
| py3-tpm2-pytss-pyc-2.3.0-r1.apk | 241768 | 2024-Oct-25 19:10 |
| py3-transitions-0.9.2-r0.apk | 100078 | 2024-Oct-25 19:10 |
| py3-transitions-pyc-0.9.2-r0.apk | 131886 | 2024-Oct-25 19:10 |
| py3-translationstring-1.4-r4.apk | 9372 | 2024-Oct-25 19:10 |
| py3-translationstring-pyc-1.4-r4.apk | 8977 | 2024-Oct-25 19:10 |
| py3-trimesh-3.22.1-r2.apk | 644599 | 2024-Oct-25 19:10 |
| py3-trimesh-pyc-3.22.1-r2.apk | 748844 | 2024-Oct-25 19:10 |
| py3-trivup-0.12.2-r2.apk | 34838 | 2024-Oct-25 19:10 |
| py3-trivup-pyc-0.12.2-r2.apk | 56228 | 2024-Oct-25 19:10 |
| py3-twiggy-0.5.1-r4.apk | 24708 | 2024-Oct-25 19:10 |
| py3-twiggy-pyc-0.5.1-r4.apk | 39608 | 2024-Oct-25 19:10 |
| py3-typing_inspect-0.9.0-r2.apk | 10150 | 2024-Oct-25 19:10 |
| py3-typing_inspect-pyc-0.9.0-r2.apk | 14569 | 2024-Oct-25 19:10 |
| py3-u-msgpack-2.8.0-r2.apk | 11170 | 2024-Oct-25 19:10 |
| py3-u-msgpack-pyc-2.8.0-r2.apk | 16620 | 2024-Oct-25 19:10 |
| py3-uacme-desec-1.2.1-r0.apk | 5838 | 2024-Oct-25 19:10 |
| py3-uacme-desec-doc-1.2.1-r0.apk | 2248 | 2024-Oct-25 19:10 |
| py3-uacme-desec-pyc-1.2.1-r0.apk | 6934 | 2024-Oct-25 19:10 |
| py3-uptime-3.0.1-r9.apk | 10234 | 2024-Oct-25 19:10 |
| py3-uptime-pyc-3.0.1-r9.apk | 8908 | 2024-Oct-25 19:10 |
| py3-urlobject-2.4.3-r9.apk | 15111 | 2024-Oct-25 19:10 |
| py3-urlobject-pyc-2.4.3-r9.apk | 25270 | 2024-Oct-25 19:10 |
| py3-us-3.2.0-r0.apk | 14658 | 2024-Oct-25 19:10 |
| py3-us-pyc-3.2.0-r0.apk | 15557 | 2024-Oct-25 19:10 |
| py3-utc-0.0.3-r9.apk | 3556 | 2024-Oct-25 19:10 |
| py3-utc-pyc-0.0.3-r9.apk | 2827 | 2024-Oct-25 19:10 |
| py3-vatnumber-1.2-r9.apk | 19072 | 2024-Oct-25 19:10 |
| py3-vatnumber-pyc-1.2-r9.apk | 8836 | 2024-Oct-25 19:10 |
| py3-visitor-0.1.3-r7.apk | 4732 | 2024-Oct-25 19:10 |
| py3-visitor-pyc-0.1.3-r7.apk | 2687 | 2024-Oct-25 19:10 |
| py3-ward-0.67.0_beta0-r2.apk | 41687 | 2024-Oct-25 19:10 |
| py3-ward-pyc-0.67.0_beta0-r2.apk | 81463 | 2024-Oct-25 19:10 |
| py3-wbdata-1.0.0-r1.apk | 18937 | 2024-Oct-25 19:10 |
| py3-wbdata-pyc-1.0.0-r1.apk | 20046 | 2024-Oct-25 19:10 |
| py3-webrtcvad-2.0.10-r1.apk | 24968 | 2024-Oct-25 19:10 |
| py3-webrtcvad-pyc-2.0.10-r1.apk | 2858 | 2024-Oct-25 19:10 |
| py3-wg-netns-2.3.1-r1.apk | 7747 | 2024-Oct-25 19:10 |
| py3-wg-netns-pyc-2.3.1-r1.apk | 13709 | 2024-Oct-25 19:10 |
| py3-wifi-0.3.8-r7.apk | 13321 | 2024-Oct-25 19:10 |
| py3-wifi-pyc-0.3.8-r7.apk | 14069 | 2024-Oct-25 19:10 |
| py3-wsgiprox-1.5.2-r1.apk | 17079 | 2024-Oct-25 19:10 |
| py3-wsgiprox-pyc-1.5.2-r1.apk | 28842 | 2024-Oct-25 19:10 |
| py3-wstools-0.4.10-r7.apk | 54482 | 2024-Oct-25 19:10 |
| py3-wstools-pyc-0.4.10-r7.apk | 113411 | 2024-Oct-25 19:10 |
| py3-wtf-peewee-3.0.6-r0.apk | 13016 | 2024-Oct-25 19:10 |
| py3-wtf-peewee-pyc-3.0.6-r0.apk | 25249 | 2024-Oct-25 19:10 |
| py3-yapsy-1.12.2-r7.apk | 33017 | 2024-Oct-25 19:10 |
| py3-yapsy-pyc-1.12.2-r7.apk | 48270 | 2024-Oct-25 19:10 |
| py3-zipfile2-0.0.12-r0.apk | 46236 | 2024-Oct-25 19:10 |
| py3-zipfile2-pyc-0.0.12-r0.apk | 29622 | 2024-Oct-25 19:10 |
| py3-zope-configuration-5.0.1-r2.apk | 40076 | 2024-Oct-25 19:10 |
| py3-zope-configuration-pyc-5.0.1-r2.apk | 49691 | 2024-Oct-25 19:10 |
| py3-zope-i18nmessageid-6.1.0-r2.apk | 16939 | 2024-Oct-25 19:10 |
| py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8177 | 2024-Oct-25 19:10 |
| py3-zope-schema-7.0.1-r3.apk | 46345 | 2024-Oct-25 19:10 |
| py3-zope-schema-pyc-7.0.1-r3.apk | 62534 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8925 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11460 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-programoutput-0.17-r5.apk | 16868 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 24422 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11596 | 2024-Oct-25 19:10 |
| py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20994 | 2024-Oct-25 19:10 |
| pyradio-0.9.3.11-r0.apk | 891699 | 2024-Oct-25 19:10 |
| qflipper-1.3.3-r1.apk | 473136 | 2024-Oct-25 19:10 |
| qflipper-gui-1.3.3-r1.apk | 1102385 | 2024-Oct-25 19:10 |
| qdjango-dev-0.6.2-r1.apk | 14392 | 2024-Oct-25 19:10 |
| pyradio-doc-0.9.3.11-r0.apk | 115358 | 2024-Oct-25 19:10 |
| pyradio-pyc-0.9.3.11-r0.apk | 829773 | 2024-Oct-25 19:10 |
| qdjango-0.6.2-r1.apk | 102717 | 2024-Oct-25 19:10 |
| qtpass-1.4.0-r0.apk | 430750 | 2024-Oct-25 19:10 |
| qtox-1.17.6-r6.apk | 5308443 | 2024-Oct-25 19:10 |
| qml-box2d-0_git20180406-r0.apk | 141064 | 2024-Oct-25 19:10 |
| qoi-0.0.0_git20230312-r0.apk | 1502 | 2024-Oct-25 19:10 |
| qoi-dev-0.0.0_git20230312-r0.apk | 6996 | 2024-Oct-25 19:10 |
| qoiconv-0.0.0_git20230312-r0.apk | 30492 | 2024-Oct-25 19:10 |
| qqc2-suru-style-0.20230206-r1.apk | 178235 | 2024-Oct-25 19:10 |
| qspectrumanalyzer-2.2.0-r5.apk | 55131 | 2024-Oct-25 19:10 |
| qspectrumanalyzer-pyc-2.2.0-r5.apk | 63785 | 2024-Oct-25 19:10 |
| qsstv-9.5.8-r2.apk | 970088 | 2024-Oct-25 19:10 |
| qsynth-1.0.2-r0.apk | 434030 | 2024-Oct-25 19:10 |
| qsynth-doc-1.0.2-r0.apk | 4470 | 2024-Oct-25 19:10 |
| qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 4033 | 2024-Oct-25 19:10 |
| qt-wayland-shell-helpers-0.1.1-r3.apk | 14763 | 2024-Oct-25 19:10 |
| queercat-1.0.0-r0.apk | 8078 | 2024-Oct-25 19:10 |
| qucs-s-lang-1.1.0-r1.apk | 885306 | 2024-Oct-25 19:10 |
| qucs-s-doc-1.1.0-r1.apk | 2417 | 2024-Oct-25 19:10 |
| qucs-s-1.1.0-r1.apk | 3451159 | 2024-Oct-25 19:10 |
| quakespasm-0.96.3-r0.apk | 496810 | 2024-Oct-25 19:10 |
| qtpass-doc-1.4.0-r0.apk | 2189 | 2024-Oct-25 19:10 |
| razercfg-gui-0.42-r7.apk | 19429 | 2024-Oct-25 19:10 |
| rathole-0.5.0-r0.apk | 1398621 | 2024-Oct-25 19:10 |
| rattler-build-0.18.0-r0.apk | 5777620 | 2024-Oct-25 19:10 |
| rattler-build-bash-completion-0.18.0-r0.apk | 3705 | 2024-Oct-25 19:10 |
| rattler-build-doc-0.18.0-r0.apk | 6885 | 2024-Oct-25 19:10 |
| rattler-build-fish-completion-0.18.0-r0.apk | 4829 | 2024-Oct-25 19:10 |
| rattler-build-zsh-completion-0.18.0-r0.apk | 5570 | 2024-Oct-25 19:10 |
| rauc-1.10.1-r0.apk | 145660 | 2024-Oct-25 19:10 |
| rauc-doc-1.10.1-r0.apk | 4267 | 2024-Oct-25 19:10 |
| rauc-service-1.10.1-r0.apk | 3833 | 2024-Oct-25 19:10 |
| razercfg-0.42-r7.apk | 79070 | 2024-Oct-25 19:10 |
| razercfg-openrc-0.42-r7.apk | 1765 | 2024-Oct-25 19:10 |
| razercfg-pyc-0.42-r7.apk | 36477 | 2024-Oct-25 19:10 |
| rclone-browser-1.8.0-r1.apk | 330624 | 2024-Oct-25 19:10 |
| rdedup-3.2.1-r5.apk | 771112 | 2024-Oct-25 19:10 |
| rmlint-doc-2.10.2-r2.apk | 18236 | 2024-Oct-25 19:10 |
| rmlint-shredder-2.10.2-r2.apk | 98267 | 2024-Oct-25 19:10 |
| rmlint-shredder-pyc-2.10.2-r2.apk | 127484 | 2024-Oct-25 19:10 |
| rofi-json-menu-0.2.0-r1.apk | 5690 | 2024-Oct-25 19:10 |
| rtptools-doc-1.22-r2.apk | 12912 | 2024-Oct-25 19:10 |
| rofi-pass-2.0.2-r2.apk | 9052 | 2024-Oct-25 19:10 |
| rofi-pass-doc-2.0.2-r2.apk | 5069 | 2024-Oct-25 19:10 |
| rosdep-0.19.0-r6.apk | 67716 | 2024-Oct-25 19:10 |
| rosdep-pyc-0.19.0-r6.apk | 121850 | 2024-Oct-25 19:10 |
| reaver-wps-fork-t6x-1.6.6-r1.apk | 455873 | 2024-Oct-25 19:10 |
| recoll-1.37.5-r1.apk | 2796588 | 2024-Oct-25 19:10 |
| recoll-dev-1.37.5-r1.apk | 54328 | 2024-Oct-25 19:10 |
| recoll-doc-1.37.5-r1.apk | 21647 | 2024-Oct-25 19:10 |
| remake-1.5-r1.apk | 141040 | 2024-Oct-25 19:10 |
| remake-dev-1.5-r1.apk | 3001 | 2024-Oct-25 19:10 |
| remake-doc-1.5-r1.apk | 206491 | 2024-Oct-25 19:10 |
| remake-make-1.5-r1.apk | 1568 | 2024-Oct-25 19:10 |
| remind-caldav-0.8.0-r4.apk | 18108 | 2024-Oct-25 19:10 |
| remind-caldav-pyc-0.8.0-r4.apk | 6286 | 2024-Oct-25 19:10 |
| repgrep-0.15.0-r0.apk | 1214193 | 2024-Oct-25 19:10 |
| repgrep-bash-completion-0.15.0-r0.apk | 1677 | 2024-Oct-25 19:10 |
| repgrep-doc-0.15.0-r0.apk | 6647 | 2024-Oct-25 19:10 |
| repgrep-fish-completion-0.15.0-r0.apk | 4247 | 2024-Oct-25 19:10 |
| repgrep-zsh-completion-0.15.0-r0.apk | 1687 | 2024-Oct-25 19:10 |
| restart-services-0.17.0-r0.apk | 11785 | 2024-Oct-25 19:10 |
| restart-services-doc-0.17.0-r0.apk | 6021 | 2024-Oct-25 19:10 |
| restic.mk-0.4.0-r0.apk | 2979 | 2024-Oct-25 19:10 |
| rezolus-2.11.1-r3.apk | 877734 | 2024-Oct-25 19:10 |
| rezolus-doc-2.11.1-r3.apk | 3438 | 2024-Oct-25 19:10 |
| rezolus-openrc-2.11.1-r3.apk | 2117 | 2024-Oct-25 19:10 |
| rgxg-0.1.2-r2.apk | 14984 | 2024-Oct-25 19:10 |
| rgxg-dev-0.1.2-r2.apk | 3607 | 2024-Oct-25 19:10 |
| rgxg-doc-0.1.2-r2.apk | 12613 | 2024-Oct-25 19:10 |
| rhasspy-nlu-0.4.0-r3.apk | 44635 | 2024-Oct-25 19:10 |
| rhasspy-nlu-pyc-0.4.0-r3.apk | 74616 | 2024-Oct-25 19:10 |
| riemann-cli-0.8.0-r2.apk | 537427 | 2024-Oct-25 19:10 |
| rinetd-0.73-r0.apk | 15225 | 2024-Oct-25 19:10 |
| rtptools-1.22-r2.apk | 38351 | 2024-Oct-25 19:10 |
| rtmidi-dev-6.0.0-r0.apk | 14461 | 2024-Oct-25 19:10 |
| rtmidi-6.0.0-r0.apk | 30657 | 2024-Oct-25 19:10 |
| rtw89-src-7_p20230725-r0.apk | 776973 | 2024-Oct-25 19:10 |
| rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4189229 | 2024-Oct-25 19:10 |
| rtl-power-fftw-doc-20200601-r4.apk | 8353 | 2024-Oct-25 19:10 |
| rtl-power-fftw-20200601-r4.apk | 61858 | 2024-Oct-25 19:10 |
| rpg-cli-1.2.0-r0.apk | 559536 | 2024-Oct-25 19:10 |
| rinetd-doc-0.73-r0.apk | 16842 | 2024-Oct-25 19:10 |
| rinetd-openrc-0.73-r0.apk | 1756 | 2024-Oct-25 19:10 |
| rkdeveloptool-1.1.0-r1.apk | 57265 | 2024-Oct-25 19:10 |
| rkdeveloptool-doc-1.1.0-r1.apk | 3023 | 2024-Oct-25 19:10 |
| rmlint-2.10.2-r2.apk | 134637 | 2024-Oct-25 19:10 |
| rmlint-lang-2.10.2-r2.apk | 19353 | 2024-Oct-25 19:10 |
| rustic-0.9.3-r0.apk | 6035642 | 2024-Oct-25 19:10 |
| rustdesk-server-1.1.10.3-r0.apk | 2476495 | 2024-Oct-25 19:10 |
| rustdesk-server-openrc-1.1.10.3-r0.apk | 2301 | 2024-Oct-25 19:10 |
| rustic-bash-completion-0.9.3-r0.apk | 8818 | 2024-Oct-25 19:10 |
| rustic-fish-completion-0.9.3-r0.apk | 17069 | 2024-Oct-25 19:10 |
| rustic-zsh-completion-0.9.3-r0.apk | 13342 | 2024-Oct-25 19:10 |
| rustscan-2.3.0-r0.apk | 1441185 | 2024-Oct-25 19:10 |
| rvlprog-0.91-r2.apk | 26264 | 2024-Oct-25 19:10 |
| ry-0.5.2-r1.apk | 4729 | 2024-Oct-25 19:10 |
| ry-bash-completion-0.5.2-r1.apk | 1998 | 2024-Oct-25 19:10 |
| ry-zsh-completion-0.5.2-r1.apk | 2310 | 2024-Oct-25 19:10 |
| s-dkim-sign-0.6.2-r0.apk | 56810 | 2024-Oct-25 19:10 |
| s-dkim-sign-doc-0.6.2-r0.apk | 8725 | 2024-Oct-25 19:10 |
| s-postgray-0.8.3-r0.apk | 45239 | 2024-Oct-25 19:10 |
| s-postgray-doc-0.8.3-r0.apk | 9812 | 2024-Oct-25 19:10 |
| saait-0.8-r0.apk | 7499 | 2024-Oct-25 19:10 |
| saait-doc-0.8-r0.apk | 13010 | 2024-Oct-25 19:10 |
| scooper-1.3-r1.apk | 531720 | 2024-Oct-25 19:10 |
| sct-2018.12.18-r1.apk | 3800 | 2024-Oct-25 19:10 |
| sbase-0_git20210730-r3.apk | 123441 | 2024-Oct-25 19:10 |
| sbase-doc-0_git20210730-r3.apk | 59638 | 2024-Oct-25 19:10 |
| sblg-0.5.11-r0.apk | 39814 | 2024-Oct-25 19:10 |
| sblg-doc-0.5.11-r0.apk | 1410348 | 2024-Oct-25 19:10 |
| sblim-sfcc-2.2.8-r3.apk | 55087 | 2024-Oct-25 19:10 |
| sblim-sfcc-dev-2.2.8-r3.apk | 22792 | 2024-Oct-25 19:10 |
| sblim-sfcc-doc-2.2.8-r3.apk | 35408 | 2024-Oct-25 19:10 |
| sblim-wbemcli-1.6.3-r1.apk | 96726 | 2024-Oct-25 19:10 |
| sblim-wbemcli-doc-1.6.3-r1.apk | 4633 | 2024-Oct-25 19:10 |
| scap-workbench-1.2.1-r3.apk | 234913 | 2024-Oct-25 19:10 |
| scap-workbench-doc-1.2.1-r3.apk | 1664240 | 2024-Oct-25 19:10 |
| scooper-doc-1.3-r1.apk | 2652 | 2024-Oct-25 19:10 |
| sflowtool-doc-6.02-r0.apk | 9578 | 2024-Oct-25 19:10 |
| sgt-puzzles-0_git20230310-r2.apk | 3097708 | 2024-Oct-25 19:10 |
| shadowsocks-libev-3.3.5-r4.apk | 229507 | 2024-Oct-25 19:10 |
| shadowsocks-libev-dev-3.3.5-r4.apk | 3551 | 2024-Oct-25 19:10 |
| shadowsocks-libev-doc-3.3.5-r4.apk | 28663 | 2024-Oct-25 19:10 |
| shc-4.0.3-r2.apk | 17226 | 2024-Oct-25 19:10 |
| shellinabox-2.21-r3.apk | 121350 | 2024-Oct-25 19:10 |
| shellinabox-doc-2.21-r3.apk | 19821 | 2024-Oct-25 19:10 |
| shellinabox-openrc-2.21-r3.apk | 3608 | 2024-Oct-25 19:10 |
| shfm-0.4.2-r1.apk | 4133 | 2024-Oct-25 19:10 |
| shfm-doc-0.4.2-r1.apk | 6284 | 2024-Oct-25 19:10 |
| shine-3.1.1-r0.apk | 55131 | 2024-Oct-25 19:10 |
| shutdown-clear-machine-id-1.0.0-r0.apk | 1851 | 2024-Oct-25 19:10 |
| shipments-0.3.0-r0.apk | 24034 | 2024-Oct-25 19:10 |
| sdparm-1.12-r1.apk | 151221 | 2024-Oct-25 19:10 |
| sdparm-doc-1.12-r1.apk | 19786 | 2024-Oct-25 19:10 |
| sedutil-1.15.1-r1.apk | 184218 | 2024-Oct-25 19:10 |
| sedutil-doc-1.15.1-r1.apk | 3134 | 2024-Oct-25 19:10 |
| seed7-05.20240322-r0.apk | 10458160 | 2024-Oct-25 19:10 |
| seed7-doc-05.20240322-r0.apk | 1679980 | 2024-Oct-25 19:10 |
| seed7-nano-05.20240322-r0.apk | 2532 | 2024-Oct-25 19:10 |
| seed7-vim-05.20240322-r0.apk | 4111 | 2024-Oct-25 19:10 |
| sentinel-minipot-2.3.0-r1.apk | 45169 | 2024-Oct-25 19:10 |
| sentinel-minipot-openrc-2.3.0-r1.apk | 2660 | 2024-Oct-25 19:10 |
| setroot-2.0.2-r1.apk | 11752 | 2024-Oct-25 19:10 |
| setroot-doc-2.0.2-r1.apk | 4551 | 2024-Oct-25 19:10 |
| sflowtool-6.02-r0.apk | 43268 | 2024-Oct-25 19:10 |
| simavr-dev-1.7-r1.apk | 825023 | 2024-Oct-25 19:10 |
| sigil-2.1.0-r0.apk | 4224938 | 2024-Oct-25 19:10 |
| sigil-lang-2.1.0-r0.apk | 3244506 | 2024-Oct-25 19:10 |
| sigma-0.23.1-r1.apk | 242461 | 2024-Oct-25 19:10 |
| sigma-pyc-0.23.1-r1.apk | 348180 | 2024-Oct-25 19:10 |
| sigrok-cli-0.7.2-r0.apk | 39029 | 2024-Oct-25 19:10 |
| sigrok-cli-doc-0.7.2-r0.apk | 8184 | 2024-Oct-25 19:10 |
| sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13384 | 2024-Oct-25 19:10 |
| simavr-1.7-r1.apk | 93603 | 2024-Oct-25 19:10 |
| simh-3.11.1-r1.apk | 3224495 | 2024-Oct-25 19:10 |
| sopwith-2.5.0-r0.apk | 52027 | 2024-Oct-25 19:10 |
| sipgrep-2.2.0-r1.apk | 26900 | 2024-Oct-25 19:10 |
| sloccount-2.26-r3.apk | 59931 | 2024-Oct-25 19:10 |
| sloccount-doc-2.26-r3.apk | 60793 | 2024-Oct-25 19:10 |
| slurm-0.4.4-r0.apk | 14175 | 2024-Oct-25 19:10 |
| slurm-doc-0.4.4-r0.apk | 2313 | 2024-Oct-25 19:10 |
| sndfile-tools-1.5-r1.apk | 46432 | 2024-Oct-25 19:10 |
| sndfile-tools-doc-1.5-r1.apk | 369658 | 2024-Oct-25 19:10 |
| snore-0.3.1-r0.apk | 4839 | 2024-Oct-25 19:10 |
| snore-doc-0.3.1-r0.apk | 3143 | 2024-Oct-25 19:10 |
| so-0.4.10-r0.apk | 1990182 | 2024-Oct-25 19:10 |
| soapy-hackrf-0.3.4-r2.apk | 30012 | 2024-Oct-25 19:10 |
| soapy-sdr-remote-0.5.2-r1.apk | 198945 | 2024-Oct-25 19:10 |
| soapy-sdr-remote-doc-0.5.2-r1.apk | 2426 | 2024-Oct-25 19:10 |
| soapy-sdr-remote-openrc-0.5.2-r1.apk | 1758 | 2024-Oct-25 19:10 |
| somebar-1.0.3-r0.apk | 44480 | 2024-Oct-25 19:10 |
| somebar-doc-1.0.3-r0.apk | 2476 | 2024-Oct-25 19:10 |
| sopwith-doc-2.5.0-r0.apk | 15225 | 2024-Oct-25 19:10 |
| spread-sheet-widget-0.10-r0.apk | 47591 | 2024-Oct-25 19:10 |
| sourcegit-8.33-r0.apk | 24374994 | 2024-Oct-25 19:10 |
| spacenavd-1.2-r0.apk | 33134 | 2024-Oct-25 19:10 |
| spark-2.8.3-r1.apk | 30331710 | 2024-Oct-25 19:10 |
| speedcrunch-0.12-r3.apk | 1174277 | 2024-Oct-25 19:10 |
| speedtest-5.2.5-r1.apk | 259560 | 2024-Oct-25 19:10 |
| speedtest-doc-5.2.5-r1.apk | 18844 | 2024-Oct-25 19:10 |
| speedtest-examples-5.2.5-r1.apk | 13279 | 2024-Oct-25 19:10 |
| spice-html5-0.3.0-r1.apk | 448978 | 2024-Oct-25 19:10 |
| spike-1.1.0-r0.apk | 1490193 | 2024-Oct-25 19:10 |
| spiped-1.6.2-r1.apk | 74969 | 2024-Oct-25 19:10 |
| spnavcfg-1.1-r0.apk | 36943 | 2024-Oct-25 19:10 |
| spotify-tui-0.25.0-r2.apk | 1872434 | 2024-Oct-25 19:10 |
| spread-sheet-widget-dbg-0.10-r0.apk | 199131 | 2024-Oct-25 19:10 |
| spread-sheet-widget-dev-0.10-r0.apk | 373429 | 2024-Oct-25 19:10 |
| spread-sheet-widget-doc-0.10-r0.apk | 4749 | 2024-Oct-25 19:10 |
| sqlar-0_git20180107-r1.apk | 14316 | 2024-Oct-25 19:10 |
| sqlar-doc-0_git20180107-r1.apk | 3384 | 2024-Oct-25 19:10 |
| sqliteodbc-0.99991-r0.apk | 95122 | 2024-Oct-25 19:10 |
| sqm-scripts-1.6.0-r0.apk | 20959 | 2024-Oct-25 19:10 |
| sshuttle-pyc-1.1.2-r0.apk | 103117 | 2024-Oct-25 19:10 |
| sregex-0.0.1-r1.apk | 21761 | 2024-Oct-25 19:10 |
| sregex-dev-0.0.1-r1.apk | 25925 | 2024-Oct-25 19:10 |
| ssdfs-tools-4.09-r0.apk | 98314 | 2024-Oct-25 19:10 |
| ssdfs-tools-dev-4.09-r0.apk | 18901 | 2024-Oct-25 19:10 |
| ssss-0.5.7-r0.apk | 12422 | 2024-Oct-25 19:10 |
| ssh-honeypot-0.1.1-r1.apk | 9361 | 2024-Oct-25 19:10 |
| ssh-honeypot-openrc-0.1.1-r1.apk | 2099 | 2024-Oct-25 19:10 |
| ssh-tools-1.8-r0.apk | 26211 | 2024-Oct-25 19:10 |
| sshuttle-1.1.2-r0.apk | 63739 | 2024-Oct-25 19:10 |
| sshuttle-doc-1.1.2-r0.apk | 8680 | 2024-Oct-25 19:10 |
| ssss-doc-0.5.7-r0.apk | 3418 | 2024-Oct-25 19:10 |
| startup-2.0.3-r5.apk | 410818 | 2024-Oct-25 19:10 |
| starfighter-2.4-r0.apk | 50193634 | 2024-Oct-25 19:10 |
| starfighter-doc-2.4-r0.apk | 22114 | 2024-Oct-25 19:10 |
| startup-bridge-dconf-2.0.3-r5.apk | 30392 | 2024-Oct-25 19:10 |
| startup-bridge-udev-2.0.3-r5.apk | 30331 | 2024-Oct-25 19:10 |
| startup-dev-2.0.3-r5.apk | 5985 | 2024-Oct-25 19:10 |
| startup-doc-2.0.3-r5.apk | 48736 | 2024-Oct-25 19:10 |
| startup-fish-completion-2.0.3-r5.apk | 5511 | 2024-Oct-25 19:10 |
| startup-lang-2.0.3-r5.apk | 16946 | 2024-Oct-25 19:10 |
| startup-tools-2.0.3-r5.apk | 13631 | 2024-Oct-25 19:10 |
| steghide-0.5.1.1-r0.apk | 141263 | 2024-Oct-25 19:10 |
| steghide-doc-0.5.1.1-r0.apk | 14049 | 2024-Oct-25 19:10 |
| stgit-2.4.7-r1.apk | 1931641 | 2024-Oct-25 19:10 |
| stgit-bash-completion-2.4.7-r1.apk | 17429 | 2024-Oct-25 19:10 |
| stgit-doc-2.4.7-r1.apk | 129263 | 2024-Oct-25 19:10 |
| stgit-emacs-2.4.7-r1.apk | 28219 | 2024-Oct-25 19:10 |
| stgit-fish-completion-2.4.7-r1.apk | 11987 | 2024-Oct-25 19:10 |
| stgit-vim-2.4.7-r1.apk | 3540 | 2024-Oct-25 19:10 |
| stgit-zsh-completion-2.4.7-r1.apk | 24141 | 2024-Oct-25 19:10 |
| sthttpd-2.27.1-r2.apk | 63628 | 2024-Oct-25 19:10 |
| sthttpd-doc-2.27.1-r2.apk | 18662 | 2024-Oct-25 19:10 |
| sthttpd-openrc-2.27.1-r2.apk | 1995 | 2024-Oct-25 19:10 |
| tartube-2.5.0-r1.apk | 2874303 | 2024-Oct-25 19:10 |
| stone-soup-0.32.1-r0.apk | 33236586 | 2024-Oct-25 19:10 |
| stw-0.3-r0.apk | 8091 | 2024-Oct-25 19:10 |
| stw-doc-0.3-r0.apk | 2587 | 2024-Oct-25 19:10 |
| sublime-music-0.12.0-r1.apk | 194058 | 2024-Oct-25 19:10 |
| sublime-music-pyc-0.12.0-r1.apk | 309435 | 2024-Oct-25 19:10 |
| supermin-5.2.2-r2.apk | 670198 | 2024-Oct-25 19:10 |
| supermin-doc-5.2.2-r2.apk | 9590 | 2024-Oct-25 19:10 |
| surf-2.1-r3.apk | 23960 | 2024-Oct-25 19:10 |
| surf-doc-2.1-r3.apk | 4747 | 2024-Oct-25 19:10 |
| surfraw-2.3.0-r0.apk | 80620 | 2024-Oct-25 19:10 |
| surfraw-doc-2.3.0-r0.apk | 18125 | 2024-Oct-25 19:10 |
| svls-0.2.12-r0.apk | 3443104 | 2024-Oct-25 19:10 |
| svls-doc-0.2.12-r0.apk | 2279 | 2024-Oct-25 19:10 |
| swaks-20240103.0-r0.apk | 67676 | 2024-Oct-25 19:10 |
| swaks-doc-20240103.0-r0.apk | 50836 | 2024-Oct-25 19:10 |
| sway-audio-idle-inhibit-0.1.2-r0.apk | 10500 | 2024-Oct-25 19:10 |
| swayhide-0.2.1-r2.apk | 251149 | 2024-Oct-25 19:10 |
| swhkd-1.2.1-r0.apk | 1011073 | 2024-Oct-25 19:10 |
| swhkd-doc-1.2.1-r0.apk | 6321 | 2024-Oct-25 19:10 |
| sxcs-1.1.0-r0.apk | 8870 | 2024-Oct-25 19:10 |
| sxcs-doc-1.1.0-r0.apk | 2703 | 2024-Oct-25 19:10 |
| sydbox-3.21.3-r0.apk | 1403591 | 2024-Oct-25 19:10 |
| sydbox-doc-3.21.3-r0.apk | 85909 | 2024-Oct-25 19:10 |
| sydbox-oci-3.21.3-r0.apk | 1842039 | 2024-Oct-25 19:10 |
| sydbox-utils-3.21.3-r0.apk | 6223877 | 2024-Oct-25 19:10 |
| sydbox-vim-3.21.3-r0.apk | 5352 | 2024-Oct-25 19:10 |
| sylpheed-imap-notify-1.1.0-r2.apk | 8829 | 2024-Oct-25 19:10 |
| symbiyosys-0.36-r0.apk | 38478 | 2024-Oct-25 19:10 |
| symengine-0.12.0-r0.apk | 3289286 | 2024-Oct-25 19:10 |
| sympow-2.023.7-r2.apk | 1880747 | 2024-Oct-25 19:10 |
| sympow-doc-2.023.7-r2.apk | 3158 | 2024-Oct-25 19:10 |
| syncthing-gtk-0.9.4.5-r2.apk | 450510 | 2024-Oct-25 19:10 |
| syncthing-gtk-doc-0.9.4.5-r2.apk | 2255 | 2024-Oct-25 19:10 |
| syncthing-gtk-pyc-0.9.4.5-r2.apk | 225894 | 2024-Oct-25 19:10 |
| t2sz-1.1.2-r0.apk | 9199 | 2024-Oct-25 19:10 |
| tachyon-0.99_beta6-r1.apk | 101071 | 2024-Oct-25 19:10 |
| tachyon-scenes-0.99_beta6-r1.apk | 2027060 | 2024-Oct-25 19:10 |
| tartube-pyc-2.5.0-r1.apk | 1123631 | 2024-Oct-25 19:10 |
| termcolor-2.1.0-r0.apk | 1502 | 2024-Oct-25 19:10 |
| tcl-curl-7.22.0-r0.apk | 31811 | 2024-Oct-25 19:10 |
| tcl-curl-doc-7.22.0-r0.apk | 38562 | 2024-Oct-25 19:10 |
| tcmu-runner-1.6.0-r6.apk | 91419 | 2024-Oct-25 19:10 |
| tcmu-runner-doc-1.6.0-r6.apk | 2575 | 2024-Oct-25 19:10 |
| tcmu-runner-rbd-1.6.0-r6.apk | 13398 | 2024-Oct-25 19:10 |
| tdrop-0.5.0-r0.apk | 12107 | 2024-Oct-25 19:10 |
| tdrop-doc-0.5.0-r0.apk | 9066 | 2024-Oct-25 19:10 |
| teapot-tools-0.4.2-r2.apk | 2064575 | 2024-Oct-25 19:10 |
| tere-1.6.0-r0.apk | 994726 | 2024-Oct-25 19:10 |
| tere-doc-1.6.0-r0.apk | 14324 | 2024-Oct-25 19:10 |
| termbox-1.1.2-r1.apk | 13057 | 2024-Oct-25 19:10 |
| termbox-dev-1.1.2-r1.apk | 5868 | 2024-Oct-25 19:10 |
| termbox-static-1.1.2-r1.apk | 13481 | 2024-Oct-25 19:10 |
| termcolor-dev-2.1.0-r0.apk | 6998 | 2024-Oct-25 19:10 |
| terminalpp-0.8.4-r0.apk | 394640 | 2024-Oct-25 19:10 |
| terminalpp-ropen-0.8.4-r0.apk | 56581 | 2024-Oct-25 19:10 |
| tncattach-doc-0.1.9-r1.apk | 3997 | 2024-Oct-25 19:11 |
| theforceengine-1.09.540-r1.apk | 7146891 | 2024-Oct-25 19:11 |
| theforceengine-doc-1.09.540-r1.apk | 6631412 | 2024-Oct-25 19:11 |
| thefuck-3.32-r5.apk | 85338 | 2024-Oct-25 19:11 |
| thefuck-pyc-3.32-r5.apk | 159462 | 2024-Oct-25 19:11 |
| thelounge-4.4.3-r0.apk | 29447860 | 2024-Oct-25 19:11 |
| thelounge-doc-4.4.3-r0.apk | 2357 | 2024-Oct-25 19:11 |
| thelounge-openrc-4.4.3-r0.apk | 2084 | 2024-Oct-25 19:11 |
| theme.sh-1.1.5-r0.apk | 40011 | 2024-Oct-25 19:11 |
| theme.sh-doc-1.1.5-r0.apk | 2374 | 2024-Oct-25 19:11 |
| thumbdrives-0.3.2-r2.apk | 11209 | 2024-Oct-25 19:11 |
| toapk-1.0-r0.apk | 11000 | 2024-Oct-25 19:11 |
| thunar-gtkhash-plugin-1.5-r0.apk | 23188 | 2024-Oct-25 19:11 |
| thunarx-python-0.5.2-r2.apk | 10121 | 2024-Oct-25 19:11 |
| thunarx-python-doc-0.5.2-r2.apk | 25811 | 2024-Oct-25 19:11 |
| timew-1.4.3-r1.apk | 255487 | 2024-Oct-25 19:11 |
| timew-bash-completion-1.4.3-r1.apk | 2828 | 2024-Oct-25 19:11 |
| timew-doc-1.4.3-r1.apk | 54422 | 2024-Oct-25 19:11 |
| timewarrior-1.7.1-r0.apk | 269671 | 2024-Oct-25 19:11 |
| timewarrior-doc-1.7.1-r0.apk | 22732 | 2024-Oct-25 19:11 |
| tinyscheme-1.42-r1.apk | 59714 | 2024-Oct-25 19:11 |
| tmate-2.4.0-r4.apk | 271250 | 2024-Oct-25 19:11 |
| tmate-doc-2.4.0-r4.apk | 73443 | 2024-Oct-25 19:11 |
| tmpmail-1.2.3-r2.apk | 7194 | 2024-Oct-25 19:11 |
| tmpmail-doc-1.2.3-r2.apk | 3325 | 2024-Oct-25 19:11 |
| tmux-resurrect-4.0.0-r0.apk | 14183 | 2024-Oct-25 19:11 |
| tmux-resurrect-doc-4.0.0-r0.apk | 8593 | 2024-Oct-25 19:11 |
| tnef-doc-1.4.18-r0.apk | 4320 | 2024-Oct-25 19:11 |
| tncattach-0.1.9-r1.apk | 22754 | 2024-Oct-25 19:11 |
| tnef-1.4.18-r0.apk | 25861 | 2024-Oct-25 19:11 |
| tree-sitter-just-0_git20230318-r0.apk | 15414 | 2024-Oct-25 19:11 |
| topgit-0.19.13-r1.apk | 129693 | 2024-Oct-25 19:11 |
| topgit-bash-completion-0.19.13-r1.apk | 4123 | 2024-Oct-25 19:11 |
| topgit-doc-0.19.13-r1.apk | 75184 | 2024-Oct-25 19:11 |
| torrent-file-editor-0.3.18-r0.apk | 374853 | 2024-Oct-25 19:11 |
| tpm2-pkcs11-1.9.1-r0.apk | 132382 | 2024-Oct-25 19:11 |
| tpm2-pkcs11-dev-1.9.1-r0.apk | 1921 | 2024-Oct-25 19:11 |
| tpm2-pkcs11-pyc-1.9.1-r0.apk | 70580 | 2024-Oct-25 19:11 |
| tpp-bypass-0.8.4-r0.apk | 12913 | 2024-Oct-25 19:11 |
| transmission-remote-gtk-1.6.0-r0.apk | 147907 | 2024-Oct-25 19:11 |
| transmission-remote-gtk-doc-1.6.0-r0.apk | 4326 | 2024-Oct-25 19:11 |
| transmission-remote-gtk-lang-1.6.0-r0.apk | 108549 | 2024-Oct-25 19:11 |
| trantor-1.5.18-r0.apk | 223651 | 2024-Oct-25 19:11 |
| trantor-dev-1.5.18-r0.apk | 34818 | 2024-Oct-25 19:11 |
| trantor-doc-1.5.18-r0.apk | 2673 | 2024-Oct-25 19:11 |
| tre-0.8.0-r2.apk | 26670 | 2024-Oct-25 19:11 |
| tre-dev-0.8.0-r2.apk | 5301 | 2024-Oct-25 19:11 |
| tre-static-0.8.0-r2.apk | 28701 | 2024-Oct-25 19:11 |
| tree-sitter-caddy-0_git20230322-r0.apk | 72070 | 2024-Oct-25 19:11 |
| tree-sitter-caddy-doc-0_git20230322-r0.apk | 2340 | 2024-Oct-25 19:11 |
| tree-sitter-make-0_git20211216-r2.apk | 42893 | 2024-Oct-25 19:11 |
| tree-sitter-pascal-0.9.1-r0.apk | 83009 | 2024-Oct-25 19:11 |
| tree-sitter-pascal-doc-0.9.1-r0.apk | 2324 | 2024-Oct-25 19:11 |
| tty-clock-2.3_git20240104-r0.apk | 8845 | 2024-Oct-25 19:11 |
| tui-journal-0.10.0-r0.apk | 1931341 | 2024-Oct-25 19:11 |
| tui-journal-doc-0.10.0-r0.apk | 7062 | 2024-Oct-25 19:11 |
| turnstile-0.1.10-r3.apk | 38894 | 2024-Oct-25 19:11 |
| turnstile-doc-0.1.10-r3.apk | 5836 | 2024-Oct-25 19:11 |
| turnstile-openrc-0.1.10-r3.apk | 1846 | 2024-Oct-25 19:11 |
| twemproxy-0.5.0-r0.apk | 69086 | 2024-Oct-25 19:11 |
| twemproxy-doc-0.5.0-r0.apk | 17616 | 2024-Oct-25 19:11 |
| twiggy-0.6.0-r3.apk | 782512 | 2024-Oct-25 19:11 |
| ttfautohint-1.8.4-r0.apk | 29659 | 2024-Oct-25 19:11 |
| ttfautohint-dev-1.8.4-r0.apk | 162497 | 2024-Oct-25 19:11 |
| ttfautohint-doc-1.8.4-r0.apk | 8290 | 2024-Oct-25 19:11 |
| ttfautohint-gui-1.8.4-r0.apk | 60411 | 2024-Oct-25 19:11 |
| ttfautohint-libs-1.8.4-r0.apk | 110434 | 2024-Oct-25 19:11 |
| tty-clock-doc-2.3_git20240104-r0.apk | 3190 | 2024-Oct-25 19:11 |
| ustr-debug-1.0.4-r1.apk | 75020 | 2024-Oct-25 19:11 |
| ustr-dev-1.0.4-r1.apk | 93644 | 2024-Oct-25 19:11 |
| ustr-doc-1.0.4-r1.apk | 98898 | 2024-Oct-25 19:11 |
| ustr-static-1.0.4-r1.apk | 170351 | 2024-Oct-25 19:11 |
| utop-2.9.1-r4.apk | 13926246 | 2024-Oct-25 19:11 |
| utop-common-2.9.1-r4.apk | 2092 | 2024-Oct-25 19:11 |
| utop-emacs-2.9.1-r4.apk | 13142 | 2024-Oct-25 19:11 |
| utop-doc-2.9.1-r4.apk | 5882 | 2024-Oct-25 19:11 |
| u-boot-s5p6818-1.2-r0.apk | 179339 | 2024-Oct-25 19:11 |
| u1db-qt-0.1.8-r0.apk | 99756 | 2024-Oct-25 19:11 |
| uasm-2.56.2-r0.apk | 297565 | 2024-Oct-25 19:11 |
| ubase-20200605-r3.apk | 45335 | 2024-Oct-25 19:11 |
| ubase-doc-20200605-r3.apk | 21746 | 2024-Oct-25 19:11 |
| ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16549 | 2024-Oct-25 19:11 |
| ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2337 | 2024-Oct-25 19:11 |
| udpt-3.1.2-r0.apk | 689193 | 2024-Oct-25 19:11 |
| udpt-openrc-3.1.2-r0.apk | 1843 | 2024-Oct-25 19:11 |
| uranium-5.2.2-r3.apk | 609835 | 2024-Oct-25 19:11 |
| urlwatch-2.28-r2.apk | 49877 | 2024-Oct-25 19:11 |
| urlwatch-doc-2.28-r2.apk | 33987 | 2024-Oct-25 19:11 |
| urlwatch-pyc-2.28-r2.apk | 103816 | 2024-Oct-25 19:11 |
| ustr-1.0.4-r1.apk | 59549 | 2024-Oct-25 19:11 |
| uucp-doc-1.07-r6.apk | 120933 | 2024-Oct-25 19:11 |
| utop-full-2.9.1-r4.apk | 13930710 | 2024-Oct-25 19:11 |
| uucp-1.07-r6.apk | 498246 | 2024-Oct-25 19:11 |
| uxn-1.0-r0.apk | 43301 | 2024-Oct-25 19:11 |
| uxn-doc-1.0-r0.apk | 4281 | 2024-Oct-25 19:11 |
| vcsh-2.0.5-r0.apk | 9002 | 2024-Oct-25 19:11 |
| vcsh-bash-completion-2.0.5-r0.apk | 2988 | 2024-Oct-25 19:11 |
| vcsh-doc-2.0.5-r0.apk | 27844 | 2024-Oct-25 19:11 |
| vcsh-zsh-completion-2.0.5-r0.apk | 2997 | 2024-Oct-25 19:11 |
| vcstool-0.3.0-r5.apk | 35636 | 2024-Oct-25 19:11 |
| vcstool-bash-completion-0.3.0-r5.apk | 1800 | 2024-Oct-25 19:11 |
| vcstool-pyc-0.3.0-r5.apk | 59107 | 2024-Oct-25 19:11 |
| vcstool-tcsh-completion-0.3.0-r5.apk | 1674 | 2024-Oct-25 19:11 |
| vcstool-zsh-completion-0.3.0-r5.apk | 1766 | 2024-Oct-25 19:11 |
| vectoroids-1.1.0-r2.apk | 287931 | 2024-Oct-25 19:11 |
| vectoroids-doc-1.1.0-r2.apk | 2352 | 2024-Oct-25 19:11 |
| vfd-configurations-0_git20230612-r0.apk | 25387 | 2024-Oct-25 19:11 |
| vidcutter-6.0.5.3-r0.apk | 2925002 | 2024-Oct-25 19:11 |
| vidcutter-doc-6.0.5.3-r0.apk | 24778 | 2024-Oct-25 19:11 |
| vidcutter-pyc-6.0.5.3-r0.apk | 1996674 | 2024-Oct-25 19:11 |
| viewnior-1.8-r1.apk | 75315 | 2024-Oct-25 19:11 |
| viewnior-doc-1.8-r1.apk | 2149 | 2024-Oct-25 19:11 |
| viewnior-lang-1.8-r1.apk | 87189 | 2024-Oct-25 19:11 |
| vym-2.9.26-r0.apk | 2917167 | 2024-Oct-25 19:11 |
| wakeonlan-0.42-r0.apk | 4611 | 2024-Oct-25 19:11 |
| wakeonlan-doc-0.42-r0.apk | 7696 | 2024-Oct-25 19:11 |
| walk-sor-0_git20190920-r1.apk | 5834 | 2024-Oct-25 19:11 |
| walk-sor-doc-0_git20190920-r1.apk | 7958 | 2024-Oct-25 19:11 |
| vim-airline-0.11-r0.apk | 88464 | 2024-Oct-25 19:11 |
| vim-airline-doc-0.11-r0.apk | 12419 | 2024-Oct-25 19:11 |
| vit-2.3.2-r1.apk | 82409 | 2024-Oct-25 19:11 |
| vit-pyc-2.3.2-r1.apk | 155115 | 2024-Oct-25 19:11 |
| vkbasalt-0.3.2.10-r0.apk | 406947 | 2024-Oct-25 19:11 |
| vkbasalt-doc-0.3.2.10-r0.apk | 3150 | 2024-Oct-25 19:11 |
| vmtouch-1.3.1-r0.apk | 12251 | 2024-Oct-25 19:11 |
| vmtouch-doc-1.3.1-r0.apk | 8229 | 2024-Oct-25 19:11 |
| voikko-fi-2.5-r0.apk | 1638403 | 2024-Oct-25 19:11 |
| volumeicon-0.5.1-r1.apk | 43459 | 2024-Oct-25 19:11 |
| volumeicon-lang-0.5.1-r1.apk | 3849 | 2024-Oct-25 19:11 |
| vym-doc-2.9.26-r0.apk | 3555374 | 2024-Oct-25 19:11 |
| wk-adblock-doc-0.0.4-r5.apk | 2145 | 2024-Oct-25 19:11 |
| wl-clipboard-x11-5-r3.apk | 3497 | 2024-Oct-25 19:11 |
| wl-clipboard-x11-doc-5-r3.apk | 3006 | 2024-Oct-25 19:11 |
| wlavu-0_git20201101-r1.apk | 13066 | 2024-Oct-25 19:11 |
| wlclock-1.0.1-r0.apk | 16511 | 2024-Oct-25 19:11 |
| wlclock-doc-1.0.1-r0.apk | 3369 | 2024-Oct-25 19:11 |
| wmctrl-1.07-r1.apk | 13681 | 2024-Oct-25 19:11 |
| wmctrl-doc-1.07-r1.apk | 5221 | 2024-Oct-25 19:11 |
| wok-3.0.0-r6.apk | 160359 | 2024-Oct-25 19:11 |
| wok-doc-3.0.0-r6.apk | 3757 | 2024-Oct-25 19:11 |
| wok-lang-3.0.0-r6.apk | 16425 | 2024-Oct-25 19:11 |
| wok-pyc-3.0.0-r6.apk | 121524 | 2024-Oct-25 19:11 |
| wol-doc-0.7.1-r3.apk | 5654 | 2024-Oct-25 19:11 |
| wol-0.7.1-r3.apk | 26357 | 2024-Oct-25 19:11 |
| watchbind-0.2.1-r1.apk | 1049300 | 2024-Oct-25 19:11 |
| watchbind-doc-0.2.1-r1.apk | 6721 | 2024-Oct-25 19:11 |
| watchdog-5.16-r2.apk | 49163 | 2024-Oct-25 19:11 |
| watchdog-doc-5.16-r2.apk | 14562 | 2024-Oct-25 19:11 |
| watershot-0.2.0-r0.apk | 1724820 | 2024-Oct-25 19:11 |
| waylevel-1.0.0-r1.apk | 296872 | 2024-Oct-25 19:11 |
| wch-isp-0.4.1-r2.apk | 10871 | 2024-Oct-25 19:11 |
| wch-isp-doc-0.4.1-r2.apk | 2723 | 2024-Oct-25 19:11 |
| wch-isp-udev-rules-0.4.1-r2.apk | 1686 | 2024-Oct-25 19:11 |
| wol-lang-0.7.1-r3.apk | 8352 | 2024-Oct-25 19:11 |
| weggli-0.2.4-r1.apk | 832077 | 2024-Oct-25 19:11 |
| whipper-0.10.0-r5.apk | 116216 | 2024-Oct-25 19:11 |
| whipper-pyc-0.10.0-r5.apk | 189495 | 2024-Oct-25 19:11 |
| wiremapper-0.10.0-r0.apk | 22167 | 2024-Oct-25 19:11 |
| witchery-0.0.3-r2.apk | 3277 | 2024-Oct-25 19:11 |
| wk-adblock-0.0.4-r5.apk | 163976 | 2024-Oct-25 19:11 |
| xosview-doc-1.24-r0.apk | 12821 | 2024-Oct-25 19:11 |
| wpa_actiond-1.4-r7.apk | 10235 | 2024-Oct-25 19:11 |
| wpa_actiond-openrc-1.4-r7.apk | 2271 | 2024-Oct-25 19:11 |
| wput-0.6.2-r4.apk | 39249 | 2024-Oct-25 19:11 |
| wput-doc-0.6.2-r4.apk | 8443 | 2024-Oct-25 19:11 |
| wroomd-0.1.0-r0.apk | 1004548 | 2024-Oct-25 19:11 |
| wroomd-openrc-0.1.0-r0.apk | 1743 | 2024-Oct-25 19:11 |
| wshowkeys-1.0-r0.apk | 14299 | 2024-Oct-25 19:11 |
| x11docker-7.6.0-r1.apk | 116164 | 2024-Oct-25 19:11 |
| x11docker-doc-7.6.0-r1.apk | 9633 | 2024-Oct-25 19:11 |
| xdg-ninja-0.2.0.2-r0.apk | 72164 | 2024-Oct-25 19:11 |
| xfce4-hamster-plugin-1.17-r0.apk | 36249 | 2024-Oct-25 19:11 |
| xfce4-hamster-plugin-lang-1.17-r0.apk | 5183 | 2024-Oct-25 19:11 |
| xfce4-mixer-4.18.1-r2.apk | 89779 | 2024-Oct-25 19:11 |
| xfce4-mixer-doc-4.18.1-r2.apk | 2559 | 2024-Oct-25 19:11 |
| xfce4-mixer-lang-4.18.1-r2.apk | 60173 | 2024-Oct-25 19:11 |
| xfd-1.1.4-r0.apk | 13848 | 2024-Oct-25 19:11 |
| xfd-doc-1.1.4-r0.apk | 5044 | 2024-Oct-25 19:11 |
| xgalaga-2.1.1.0-r1.apk | 320862 | 2024-Oct-25 19:11 |
| xgalaga-doc-2.1.1.0-r1.apk | 2605 | 2024-Oct-25 19:11 |
| xiccd-0.3.0_git20211219-r1.apk | 16001 | 2024-Oct-25 19:11 |
| xiccd-doc-0.3.0_git20211219-r1.apk | 3379 | 2024-Oct-25 19:11 |
| xisxwayland-2-r1.apk | 4291 | 2024-Oct-25 19:11 |
| xisxwayland-doc-2-r1.apk | 2018 | 2024-Oct-25 19:11 |
| xlhtml-0.5.1-r0.apk | 11819 | 2024-Oct-25 19:11 |
| xlhtml-doc-0.5.1-r0.apk | 2563 | 2024-Oct-25 19:11 |
| xload-1.1.4-r0.apk | 6819 | 2024-Oct-25 19:11 |
| xload-doc-1.1.4-r0.apk | 3281 | 2024-Oct-25 19:11 |
| xmag-1.0.8-r0.apk | 16910 | 2024-Oct-25 19:11 |
| xmag-doc-1.0.8-r0.apk | 4854 | 2024-Oct-25 19:11 |
| xmp-4.2.0-r0.apk | 23741 | 2024-Oct-25 19:11 |
| xmp-doc-4.2.0-r0.apk | 5445 | 2024-Oct-25 19:11 |
| xmppipe-0.16.0-r1.apk | 16837 | 2024-Oct-25 19:11 |
| xosview-1.24-r0.apk | 116269 | 2024-Oct-25 19:11 |
| xsane-0.999-r2.apk | 1561201 | 2024-Oct-25 19:11 |
| xsane-doc-0.999-r2.apk | 4411 | 2024-Oct-25 19:11 |
| xsane-lang-0.999-r2.apk | 450898 | 2024-Oct-25 19:11 |
| xsecurelock-1.9.0-r1.apk | 77174 | 2024-Oct-25 19:11 |
| xsecurelock-doc-1.9.0-r1.apk | 18177 | 2024-Oct-25 19:11 |
| xsoldier-1.8-r2.apk | 69869 | 2024-Oct-25 19:11 |
| xsoldier-doc-1.8-r2.apk | 2679 | 2024-Oct-25 19:11 |
| xva-img-1.5-r0.apk | 17303 | 2024-Oct-25 19:11 |
| xvidtune-1.0.4-r0.apk | 17422 | 2024-Oct-25 19:11 |
| xvidtune-doc-1.0.4-r0.apk | 4274 | 2024-Oct-25 19:11 |
| xvkbd-4.1-r2.apk | 300955 | 2024-Oct-25 19:11 |
| xvkbd-doc-4.1-r2.apk | 11031 | 2024-Oct-25 19:11 |
| yamkix-0.10.0-r1.apk | 14570 | 2024-Oct-25 19:11 |
| yamkix-pyc-0.10.0-r1.apk | 12059 | 2024-Oct-25 19:11 |
| yass-2.5.0-r0.apk | 14775992 | 2024-Oct-25 19:11 |
| ydcv-0.7-r8.apk | 20505 | 2024-Oct-25 19:11 |
| ydcv-pyc-0.7-r8.apk | 10829 | 2024-Oct-25 19:11 |
| ydcv-zsh-completion-0.7-r8.apk | 2205 | 2024-Oct-25 19:11 |
| yoe-kiosk-browser-0_git20231118-r0.apk | 9755 | 2024-Oct-25 19:11 |
| youki-0.4.1-r0.apk | 1469179 | 2024-Oct-25 19:11 |
| youki-dbg-0.4.1-r0.apk | 4702 | 2024-Oct-25 19:11 |
| z-1.12-r0.apk | 4717 | 2024-Oct-25 19:11 |
| z-doc-1.12-r0.apk | 4008 | 2024-Oct-25 19:11 |
| zafiro-icon-theme-1.3-r0.apk | 20169315 | 2024-Oct-25 19:11 |
| zapret-0.0.0_git20220125-r1.apk | 82172 | 2024-Oct-25 19:11 |
| zapret-doc-0.0.0_git20220125-r1.apk | 100826 | 2024-Oct-25 19:11 |
| zapret-openrc-0.0.0_git20220125-r1.apk | 2132 | 2024-Oct-25 19:11 |
| zarchive-0.1.2-r2.apk | 15877 | 2024-Oct-25 19:11 |
| zarchive-dev-0.1.2-r2.apk | 6916 | 2024-Oct-25 19:11 |
| zarchive-libs-0.1.2-r2.apk | 23451 | 2024-Oct-25 19:11 |
| zfsbootmenu-2.3.0-r1.apk | 131326 | 2024-Oct-25 19:11 |
| zfsbootmenu-doc-2.3.0-r1.apk | 16175 | 2024-Oct-25 19:11 |
| zile-2.6.2-r1.apk | 121102 | 2024-Oct-25 19:11 |
| zile-doc-2.6.2-r1.apk | 16186 | 2024-Oct-25 19:11 |
| zita-njbridge-0.4.8-r1.apk | 29093 | 2024-Oct-25 19:11 |
| zita-njbridge-doc-0.4.8-r1.apk | 5358 | 2024-Oct-25 19:11 |
| zsh-fzf-tab-0_git20220331-r1.apk | 16434 | 2024-Oct-25 19:11 |
| zsh-histdb-skim-0.8.6-r0.apk | 758593 | 2024-Oct-25 19:11 |
| zsh-manydots-magic-0_git20230607-r1.apk | 2932 | 2024-Oct-25 19:11 |
| zydis-4.1.0-r0.apk | 218277 | 2024-Oct-25 19:11 |
| zydis-dev-4.1.0-r0.apk | 62298 | 2024-Oct-25 19:11 |
| zydis-doc-4.1.0-r0.apk | 1652044 | 2024-Oct-25 19:11 |
| java-jtreg-7.5_p1-r0.apk | 4825283 | 2024-Oct-27 12:04 |
| php84-pecl-uv-0.3.0-r0.apk | 51967 | 2024-Oct-28 12:47 |
| py3-bite-parser-0.2.5-r0.apk | 13966 | 2024-Oct-28 21:51 |
| py3-bite-parser-pyc-0.2.5-r0.apk | 24057 | 2024-Oct-28 21:51 |
| elementary-music-lang-8.0.0-r0.apk | 48627 | 2024-Oct-28 22:05 |
| elementary-music-8.0.0-r0.apk | 75929 | 2024-Oct-28 22:05 |
| perl-dbix-class-candy-0.005004-r0.apk | 8060 | 2024-Oct-30 05:59 |
| perl-dbix-class-candy-doc-0.005004-r0.apk | 9712 | 2024-Oct-30 05:59 |
| ifuse-1.1.4-r5.apk | 10420 | 2024-Oct-30 22:44 |
| ideviceinstaller-1.1.1-r4.apk | 14444 | 2024-Oct-30 22:44 |
| ideviceinstaller-doc-1.1.1-r4.apk | 2512 | 2024-Oct-30 22:44 |
| libideviceactivation-doc-1.1.1-r5.apk | 2229 | 2024-Oct-30 22:44 |
| ifuse-doc-1.1.4-r5.apk | 2398 | 2024-Oct-30 22:44 |
| libideviceactivation-1.1.1-r5.apk | 18372 | 2024-Oct-30 22:44 |
| libideviceactivation-dev-1.1.1-r5.apk | 3391 | 2024-Oct-30 22:44 |
| py3-sh-2.1.0-r0.apk | 38667 | 2024-Nov-01 15:13 |
| py3-sh-pyc-2.1.0-r0.apk | 56172 | 2024-Nov-01 15:13 |
| flawz-bash-completion-0.3.0-r0.apk | 2166 | 2024-Nov-03 21:06 |
| flawz-fish-completion-0.3.0-r0.apk | 1956 | 2024-Nov-03 21:06 |
| flawz-zsh-completion-0.3.0-r0.apk | 2279 | 2024-Nov-03 21:06 |
| flawz-0.3.0-r0.apk | 1188854 | 2024-Nov-03 21:06 |
| flawz-doc-0.3.0-r0.apk | 6132 | 2024-Nov-03 21:06 |
| cproc-dbg-0_git20240427-r1.apk | 123873 | 2024-Nov-03 21:51 |
| cproc-0_git20240427-r1.apk | 54840 | 2024-Nov-03 21:51 |
| cproc-doc-0_git20240427-r1.apk | 2917 | 2024-Nov-03 21:51 |
| avara-0.7.1-r1.apk | 22430352 | 2024-Nov-04 08:52 |
| py3-aiohttp-remotes-1.3.0-r0.apk | 10262 | 2024-Nov-04 12:28 |
| py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 19311 | 2024-Nov-04 12:28 |
| php81-pecl-rdkafka-6.0.5-r0.apk | 37556 | 2024-Nov-04 12:52 |
| e16-1.0.30-r0.apk | 805697 | 2024-Nov-05 13:22 |
| e16-lang-1.0.30-r0.apk | 388737 | 2024-Nov-05 13:22 |
| e16-doc-1.0.30-r0.apk | 27904 | 2024-Nov-05 13:22 |
| py3-zimscraperlib-pyc-3.4.0-r0.apk | 70013 | 2024-Nov-06 09:41 |
| py3-unicorn-hat-2.1.2-r6.apk | 16006 | 2024-Nov-06 09:41 |
| py3-zimscraperlib-3.4.0-r0.apk | 52854 | 2024-Nov-06 09:41 |
| plots-0.7.0-r1.apk | 528099 | 2024-Nov-06 09:41 |
| py3-pyglm-2.7.3-r0.apk | 1277619 | 2024-Nov-06 09:41 |
| neofetch-doc-7.1.0-r2.apk | 6327 | 2024-Nov-07 05:26 |
| neofetch-7.1.0-r2.apk | 87713 | 2024-Nov-07 05:26 |
| elementary-sound-theme-1.1.0-r0.apk | 85066 | 2024-Nov-10 22:07 |
| php81-pecl-xlswriter-1.5.8-r0.apk | 227312 | 2024-Nov-11 01:44 |
| nvim-web-devicons-0.100_git20241011-r0.apk | 27468 | 2024-Nov-11 03:57 |
| nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7290 | 2024-Nov-11 03:57 |
| nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10554 | 2024-Nov-11 04:07 |
| nvim-plenary-0.1.4_git20240917-r0.apk | 104452 | 2024-Nov-11 04:07 |
| grcov-0.8.20-r0.apk | 1831271 | 2024-Nov-11 10:02 |
| py3-pyvcd-0.4.1-r0.apk | 23216 | 2024-Nov-11 21:46 |
| py3-pyvcd-pyc-0.4.1-r0.apk | 41425 | 2024-Nov-11 21:46 |
| geotagging-0.7.4-r0.apk | 440277 | 2024-Nov-12 11:04 |
| py3-xapp-2.4.2-r0.apk | 34415 | 2024-Nov-12 11:04 |
| crun-vm-0.3.0-r0.apk | 1052637 | 2024-Nov-12 11:41 |
| crun-vm-doc-0.3.0-r0.apk | 13200 | 2024-Nov-12 11:41 |
| contractor-0.3.5-r0.apk | 27166 | 2024-Nov-12 21:56 |
| appcenter-8.0.0-r0.apk | 408991 | 2024-Nov-12 21:56 |
| appcenter-lang-8.0.0-r0.apk | 264505 | 2024-Nov-12 21:56 |
| py3-pltable-1.1.0-r1.apk | 19023 | 2024-Nov-13 06:46 |
| py3-pltable-pyc-1.1.0-r1.apk | 34278 | 2024-Nov-13 06:46 |
| py3-minidb-2.0.8-r0.apk | 10292 | 2024-Nov-13 19:44 |
| py3-minidb-pyc-2.0.8-r0.apk | 23989 | 2024-Nov-13 19:44 |
| nvim-lualine-0.0.0_git20241101-r0.apk | 60976 | 2024-Nov-13 23:12 |
| nvim-lualine-doc-0.0.0_git20241101-r0.apk | 31296 | 2024-Nov-13 23:12 |
| py3-mss-10.0.0-r0.apk | 51807 | 2024-Nov-14 13:09 |
| apk-autoupdate-0_git20210421-r1.apk | 14525 | 2024-Nov-20 00:45 |
| apk-autoupdate-doc-0_git20210421-r1.apk | 7123 | 2024-Nov-20 00:45 |
| linuxptp-ptp4l-4.4-r0.apk | 80274 | 2024-Nov-20 00:45 |
| linuxptp-timemaster-4.4-r0.apk | 16811 | 2024-Nov-20 00:45 |
| fplll-5.5.0-r0.apk | 49376 | 2024-Nov-20 00:45 |
| fplll-dev-5.5.0-r0.apk | 79827 | 2024-Nov-20 00:45 |
| fplll-libs-5.5.0-r0.apk | 5884973 | 2024-Nov-20 00:45 |
| fplll-static-5.5.0-r0.apk | 6757383 | 2024-Nov-20 00:45 |
| fplll-strategies-5.5.0-r0.apk | 1792045 | 2024-Nov-20 00:45 |
| gufw-24.04-r3.apk | 610792 | 2024-Nov-20 00:45 |
| gufw-doc-24.04-r3.apk | 4591 | 2024-Nov-20 00:45 |
| gufw-lang-24.04-r3.apk | 875100 | 2024-Nov-20 00:45 |
| gufw-pyc-24.04-r3.apk | 66125 | 2024-Nov-20 00:45 |
| libbraiding-1.3.1-r0.apk | 92228 | 2024-Nov-20 00:45 |
| libbraiding-dev-1.3.1-r0.apk | 15430 | 2024-Nov-20 00:45 |
| linuxptp-4.4-r0.apk | 1248 | 2024-Nov-20 00:45 |
| linuxptp-doc-4.4-r0.apk | 39364 | 2024-Nov-20 00:45 |
| linuxptp-hwstamp_ctl-4.4-r0.apk | 4784 | 2024-Nov-20 00:45 |
| linuxptp-nsm-4.4-r0.apk | 32852 | 2024-Nov-20 00:45 |
| linuxptp-phc2sys-4.4-r0.apk | 36920 | 2024-Nov-20 00:45 |
| linuxptp-phc_ctl-4.4-r0.apk | 10397 | 2024-Nov-20 00:45 |
| linuxptp-pmc-4.4-r0.apk | 35860 | 2024-Nov-20 00:45 |
| linuxptp-ts2phc-4.4-r0.apk | 36307 | 2024-Nov-20 00:45 |
| linuxptp-tz2alt-4.4-r0.apk | 20332 | 2024-Nov-20 00:45 |
| perl-dbix-class-helpers-2.037000-r0.apk | 48860 | 2024-Nov-20 00:45 |
| perl-dbix-class-helpers-doc-2.037000-r0.apk | 124276 | 2024-Nov-20 00:45 |
| perl-test-utf8-1.03-r0.apk | 5707 | 2024-Nov-20 00:45 |
| perl-test-utf8-doc-1.03-r0.apk | 4976 | 2024-Nov-20 00:45 |
| py3-requests-cache-pyc-1.2.1-r1.apk | 96470 | 2024-Nov-20 00:45 |
| py3-requests-cache-1.2.1-r1.apk | 51130 | 2024-Nov-20 00:45 |
| randrctl-1.10.0-r0.apk | 28597 | 2024-Nov-20 00:45 |
| randrctl-pyc-1.10.0-r0.apk | 31934 | 2024-Nov-20 00:45 |
| neko-doc-2.3.0-r0.apk | 20399 | 2024-Nov-21 00:55 |
| neko-2.3.0-r0.apk | 463992 | 2024-Nov-21 00:55 |
| neko-dev-2.3.0-r0.apk | 10636 | 2024-Nov-21 00:55 |
| smassh-pyc-3.1.6-r0.apk | 71917 | 2024-Nov-21 02:56 |
| smassh-3.1.6-r0.apk | 74140 | 2024-Nov-21 02:56 |
| py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 12205 | 2024-Nov-21 13:31 |
| py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 97390 | 2024-Nov-21 13:31 |
| py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r..> | 11494 | 2024-Nov-21 13:31 |
| py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9893 | 2024-Nov-21 13:31 |
| py3-xdoctest-1.2.0-r0.apk | 319995 | 2024-Nov-21 13:31 |
| paprefs-lang-1.2-r2.apk | 38796 | 2024-Nov-22 22:11 |
| paprefs-1.2-r2.apk | 31512 | 2024-Nov-22 22:11 |
| qstardict-2.0.2-r1.apk | 461869 | 2024-Nov-24 07:46 |
| predict-2.3.1-r0.apk | 93414 | 2024-Nov-24 07:46 |
| predict-doc-2.3.1-r0.apk | 16469 | 2024-Nov-24 07:46 |
| qstardict-doc-2.0.2-r1.apk | 10895 | 2024-Nov-24 07:46 |
| py3-highctidh-pyc-1.0.2024092800-r0.apk | 11857 | 2024-Nov-25 20:23 |
| highctidh-1.0.2024092800-r0.apk | 373039 | 2024-Nov-25 20:23 |
| highctidh-dev-1.0.2024092800-r0.apk | 412746 | 2024-Nov-25 20:23 |
| py3-highctidh-1.0.2024092800-r0.apk | 385306 | 2024-Nov-25 20:23 |
| atac-0.18.1-r0.apk | 4798402 | 2024-Nov-25 21:57 |
| py3-livestream-2.1.0-r0.apk | 784629 | 2024-Nov-25 22:22 |
| py3-livestream-pyc-2.1.0-r0.apk | 30610 | 2024-Nov-25 22:22 |
| git-graph-0.6.0-r0.apk | 840805 | 2024-Nov-25 23:38 |
| git-graph-doc-0.6.0-r0.apk | 6390 | 2024-Nov-25 23:38 |
| gmid-doc-2.1.1-r0.apk | 14789 | 2024-Nov-27 19:26 |
| gmid-2.1.1-r0.apk | 232005 | 2024-Nov-27 19:26 |
| gmid-openrc-2.1.1-r0.apk | 2248 | 2024-Nov-27 19:26 |
| certbot-dns-njalla-2.0.0-r0.apk | 9520 | 2024-Nov-27 23:05 |
| certbot-dns-njalla-pyc-2.0.0-r0.apk | 4311 | 2024-Nov-27 23:05 |
| hare-madeline-doc-0.1_git20240505-r1.apk | 2212 | 2024-Nov-28 16:16 |
| hare-madeline-0.1_git20240505-r1.apk | 25166 | 2024-Nov-28 16:16 |
| haredo-1.0.5-r1.apk | 163911 | 2024-Nov-28 16:16 |
| haredo-doc-1.0.5-r1.apk | 4776 | 2024-Nov-28 16:16 |
| himitsu-firefox-0.6-r1.apk | 211393 | 2024-Nov-28 16:16 |
| mkrundir-0.4.0-r1.apk | 98112 | 2024-Nov-28 16:16 |
| treecat-1.0.2_git20240706-r1.apk | 146554 | 2024-Nov-29 12:12 |
| treecat-doc-1.0.2_git20240706-r1.apk | 3363 | 2024-Nov-29 12:12 |
| dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 47577 | 2024-Nov-29 22:02 |
| dmarc-metrics-exporter-1.2.0-r0.apk | 25881 | 2024-Nov-29 22:02 |
| dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1896 | 2024-Nov-29 22:02 |
| py3-protego-0.3.1-r0.apk | 9562 | 2024-Nov-30 20:18 |
| py3-protego-pyc-0.3.1-r0.apk | 11835 | 2024-Nov-30 20:18 |
| py3-itemadapter-pyc-0.10.0-r0.apk | 12975 | 2024-Nov-30 20:42 |
| py3-itemadapter-0.10.0-r0.apk | 11519 | 2024-Nov-30 20:42 |
| py3-ppk2-api-0.9.2-r0.apk | 16877 | 2024-Nov-30 21:06 |
| py3-ppk2-api-pyc-0.9.2-r0.apk | 16908 | 2024-Nov-30 21:06 |
| py3-numpy-stl-3.2.0-r0.apk | 21508 | 2024-Dec-01 02:04 |
| py3-numpy-stl-pyc-3.2.0-r0.apk | 28542 | 2024-Dec-01 02:04 |
| tldr-python-client-doc-3.3.0-r0.apk | 3590 | 2024-Dec-01 17:09 |
| tldr-python-client-3.3.0-r0.apk | 12405 | 2024-Dec-01 17:09 |
| tldr-python-client-pyc-3.3.0-r0.apk | 14514 | 2024-Dec-01 17:09 |
| py3-requests-kerberos-0.15.0-r0.apk | 12390 | 2024-Dec-01 17:24 |
| py3-requests-kerberos-pyc-0.15.0-r0.apk | 11191 | 2024-Dec-01 17:24 |
| py3-language-data-pyc-1.3.0-r0.apk | 3105406 | 2024-Dec-01 20:08 |
| py3-language-data-1.3.0-r0.apk | 5191897 | 2024-Dec-01 20:08 |
| py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10675 | 2024-Dec-01 20:13 |
| py3-cucumber-tag-expressions-6.1.1-r0.apk | 8875 | 2024-Dec-01 20:13 |
| elementary-photos-lang-8.0.1-r0.apk | 1052063 | 2024-Dec-04 11:57 |
| elementary-photos-8.0.1-r0.apk | 1177924 | 2024-Dec-04 11:57 |
| openfire-plugins-4.8.1-r1.apk | 74087 | 2024-Dec-04 11:57 |
| openfire-4.8.1-r1.apk | 48006455 | 2024-Dec-04 11:57 |
| openfire-doc-4.8.1-r1.apk | 3963697 | 2024-Dec-04 11:57 |
| openfire-openrc-4.8.1-r1.apk | 1961 | 2024-Dec-04 11:57 |
| py3-soappy-0.52.30-r0.apk | 48394 | 2024-Dec-04 11:57 |
| py3-soappy-pyc-0.52.30-r0.apk | 97568 | 2024-Dec-04 11:57 |
| php81-pecl-pcov-1.0.12-r0.apk | 10041 | 2024-Dec-04 17:17 |
| drupal7-7.103-r0.apk | 3443842 | 2024-Dec-04 17:28 |
| drupal7-doc-7.103-r0.apk | 58842 | 2024-Dec-04 17:28 |
| py3-flask-flatpages-pyc-0.8.3-r0.apk | 13979 | 2024-Dec-06 22:59 |
| py3-flask-flatpages-0.8.3-r0.apk | 10954 | 2024-Dec-06 22:59 |
| py3-sqlmodel-0.0.22-r1.apk | 26954 | 2024-Dec-06 23:03 |
| py3-sqlmodel-pyc-0.0.22-r1.apk | 42172 | 2024-Dec-06 23:03 |
| dooit-extras-0.2.0-r0.apk | 13043 | 2024-Dec-07 20:23 |
| dooit-extras-pyc-0.2.0-r0.apk | 23340 | 2024-Dec-07 20:23 |
| py3-venusian-3.1.1-r0.apk | 14700 | 2024-Dec-07 21:14 |
| py3-venusian-pyc-3.1.1-r0.apk | 12798 | 2024-Dec-07 21:14 |
| py3-flake8-builtins-pyc-2.5.0-r0.apk | 8063 | 2024-Dec-07 21:51 |
| py3-flake8-builtins-2.5.0-r0.apk | 13038 | 2024-Dec-07 21:51 |
| py3-liblarch-pyc-3.2.0-r6.apk | 50792 | 2024-Dec-08 21:43 |
| endeavour-43.0-r2.apk | 193938 | 2024-Dec-08 21:43 |
| endeavour-dev-43.0-r2.apk | 46680 | 2024-Dec-08 21:43 |
| endeavour-doc-43.0-r2.apk | 69704 | 2024-Dec-08 21:43 |
| endeavour-lang-43.0-r2.apk | 208015 | 2024-Dec-08 21:43 |
| getting-things-gnome-0.6-r4.apk | 732083 | 2024-Dec-08 21:43 |
| getting-things-gnome-doc-0.6-r4.apk | 509337 | 2024-Dec-08 21:43 |
| getting-things-gnome-lang-0.6-r4.apk | 234202 | 2024-Dec-08 21:43 |
| planner-0.14.92-r1.apk | 359429 | 2024-Dec-08 21:43 |
| planner-doc-0.14.92-r1.apk | 2208 | 2024-Dec-08 21:43 |
| planner-lang-0.14.92-r1.apk | 844372 | 2024-Dec-08 21:43 |
| py3-liblarch-3.2.0-r6.apk | 30224 | 2024-Dec-08 21:43 |
| meson-tools-0.1-r2.apk | 11517 | 2024-Dec-09 16:38 |
| meson-tools-doc-0.1-r2.apk | 8494 | 2024-Dec-09 16:38 |
| php81-pecl-smbclient-1.2.0_pre-r0.apk | 21102 | 2024-Dec-10 19:01 |
| perl-net-async-redis-6.006-r0.apk | 59837 | 2024-Dec-11 16:22 |
| perl-net-async-redis-doc-6.006-r0.apk | 67478 | 2024-Dec-11 16:22 |
| openfortivpn-doc-1.22.1-r0.apk | 6447 | 2024-Dec-11 21:34 |
| openfortivpn-1.22.1-r0.apk | 41961 | 2024-Dec-11 21:34 |
| py3-eventlet-0.38.1-r0.apk | 340182 | 2024-Dec-11 21:36 |
| py3-eventlet-pyc-0.38.1-r0.apk | 343692 | 2024-Dec-11 21:36 |
| py3-flask-qrcode-3.2.0-r0.apk | 18462 | 2024-Dec-12 07:39 |
| py3-flask-qrcode-pyc-3.2.0-r0.apk | 6303 | 2024-Dec-12 07:39 |
| libantlr4-4.13.2-r0.apk | 437034 | 2024-Dec-12 11:12 |
| libantlr4-dev-4.13.2-r0.apk | 946765 | 2024-Dec-12 11:12 |
| code-minimap-0.6.7-r0.apk | 339562 | 2024-Dec-12 19:37 |
| code-minimap-doc-0.6.7-r0.apk | 8181 | 2024-Dec-12 19:37 |
| py3-janus-pyc-1.2.0-r0.apk | 13656 | 2024-Dec-13 04:57 |
| py3-janus-1.2.0-r0.apk | 12655 | 2024-Dec-13 04:57 |
| jwt-cli-6.2.0-r0.apk | 961183 | 2024-Dec-14 18:18 |
| restinio-dev-0.6.19-r1.apk | 274275 | 2024-Dec-14 19:38 |
| knxd-0.14.61-r1.apk | 411923 | 2024-Dec-14 19:38 |
| knxd-dev-0.14.61-r1.apk | 25588 | 2024-Dec-14 19:38 |
| libsemigroups-2.7.3-r1.apk | 723657 | 2024-Dec-14 19:38 |
| libsemigroups-dev-2.7.3-r1.apk | 342533 | 2024-Dec-14 19:38 |
| libsemigroups-static-2.7.3-r1.apk | 1583498 | 2024-Dec-14 19:38 |
| pebble-le-0.3.0-r2.apk | 65030 | 2024-Dec-14 19:38 |
| pebble-le-dev-0.3.0-r2.apk | 43294 | 2024-Dec-14 19:38 |
| pebble-le-doc-0.3.0-r2.apk | 3770 | 2024-Dec-14 19:38 |
| restinio-0.6.19-r1.apk | 1261 | 2024-Dec-14 19:38 |
| azote-pyc-1.14.0-r0.apk | 100361 | 2024-Dec-14 20:38 |
| azote-1.14.0-r0.apk | 7979175 | 2024-Dec-14 20:38 |
| py3-jaraco.stream-pyc-3.0.4-r0.apk | 8270 | 2024-Dec-14 22:49 |
| py3-jaraco.stream-3.0.4-r0.apk | 6873 | 2024-Dec-14 22:49 |
| throttled-openrc-0.10.0-r1.apk | 1644 | 2024-Dec-15 19:25 |
| bore-0.5.2-r0.apk | 516373 | 2024-Dec-15 19:25 |
| throttled-0.10.0-r1.apk | 14904 | 2024-Dec-15 19:25 |
| throttled-pyc-0.10.0-r1.apk | 29048 | 2024-Dec-15 19:25 |
| py3-proxmoxer-2.2.0-r0.apk | 17259 | 2024-Dec-16 11:36 |
| py3-proxmoxer-pyc-2.2.0-r0.apk | 28400 | 2024-Dec-16 11:36 |
| swi-prolog-xpce-9.2.9-r0.apk | 943913 | 2024-Dec-21 10:08 |
| swi-prolog-9.2.9-r0.apk | 5001163 | 2024-Dec-21 10:08 |
| swi-prolog-doc-9.2.9-r0.apk | 2162685 | 2024-Dec-21 10:08 |
| swi-prolog-pyc-9.2.9-r0.apk | 22993 | 2024-Dec-21 10:08 |
| swi-prolog-xpce-doc-9.2.9-r0.apk | 1092552 | 2024-Dec-21 10:08 |
| amber-mpris-1.2.9-r0.apk | 207592 | 2024-Dec-22 16:48 |
| amber-mpris-dev-1.2.9-r0.apk | 6875 | 2024-Dec-22 16:48 |
| pitivi-lang-2023.03-r2.apk | 694551 | 2024-Dec-23 01:15 |
| pitivi-2023.03-r2.apk | 2812173 | 2024-Dec-23 01:15 |
| pitivi-pyc-2023.03-r2.apk | 716962 | 2024-Dec-23 01:15 |
| guish-2.6.11-r0.apk | 97504 | 2024-Dec-24 10:42 |
| guish-doc-2.6.11-r0.apk | 62761 | 2024-Dec-24 10:42 |
| py3-limits-3.14.1-r0.apk | 34300 | 2024-Dec-25 18:57 |
| py3-limits-pyc-3.14.1-r0.apk | 73152 | 2024-Dec-25 18:57 |
| octoprint-openrc-1.10.3-r0.apk | 1749 | 2024-Dec-25 22:04 |
| octoprint-1.10.3-r0.apk | 3179033 | 2024-Dec-25 22:04 |
| octoprint-pyc-1.10.3-r0.apk | 1297614 | 2024-Dec-25 22:04 |
| py3-pysubs2-pyc-1.8.0-r0.apk | 69336 | 2024-Dec-25 22:09 |
| py3-pysubs2-1.8.0-r0.apk | 36790 | 2024-Dec-25 22:09 |
| perl-plack-middleware-fixmissingbodyinredirect-0..> | 3155 | 2024-Dec-26 09:36 |
| perl-plack-middleware-fixmissingbodyinredirect-d..> | 3139 | 2024-Dec-26 09:36 |
| perl-plack-middleware-removeredundantbody-doc-0...> | 3153 | 2024-Dec-27 14:02 |
| perl-plack-middleware-removeredundantbody-0.09-r..> | 2568 | 2024-Dec-27 14:02 |
| libdng-doc-0.2.1-r0.apk | 4300 | 2024-Dec-27 22:09 |
| libdng-0.2.1-r0.apk | 11601 | 2024-Dec-27 22:09 |
| libdng-dev-0.2.1-r0.apk | 3272 | 2024-Dec-27 22:09 |
| libdng-utils-0.2.1-r0.apk | 6371 | 2024-Dec-27 22:09 |
| perl-cli-osprey-doc-0.08-r0.apk | 12341 | 2024-Dec-28 04:54 |
| perl-cli-osprey-0.08-r0.apk | 13051 | 2024-Dec-28 04:54 |
| perl-dancer2-1.1.2-r0.apk | 166950 | 2024-Dec-28 04:54 |
| perl-dancer2-doc-1.1.2-r0.apk | 307993 | 2024-Dec-28 04:54 |
| perl-dbix-connector-0.60-r0.apk | 15098 | 2024-Dec-30 06:37 |
| perl-dbix-connector-doc-0.60-r0.apk | 22836 | 2024-Dec-30 06:37 |
| perl-dbix-lite-0.36-r0.apk | 18447 | 2024-Dec-30 06:37 |
| perl-dbix-lite-doc-0.36-r0.apk | 17937 | 2024-Dec-30 06:37 |
| soapy-bladerf-0.4.2-r0.apk | 48731 | 2024-Dec-31 01:11 |
| perl-getopt-long-descriptive-doc-0.116-r0.apk | 11254 | 2024-Dec-31 09:39 |
| perl-getopt-long-descriptive-0.116-r0.apk | 14987 | 2024-Dec-31 09:39 |
| perl-datetime-format-flexible-doc-0.37-r0.apk | 12411 | 2024-Dec-31 13:27 |
| perl-datetime-format-flexible-0.37-r0.apk | 18481 | 2024-Dec-31 13:27 |
| pgcat-openrc-1.2.0-r1.apk | 1921 | 2025-Jan-01 17:38 |
| pgcat-1.2.0-r1.apk | 2563602 | 2025-Jan-01 17:38 |
| py3-mopidy-local-pyc-3.3.0-r0.apk | 34912 | 2025-Jan-01 22:38 |
| py3-mopidy-local-3.3.0-r0.apk | 28437 | 2025-Jan-01 22:38 |
| lyrics-in-terminal-1.7.0-r0.apk | 38978 | 2025-Jan-03 10:09 |
| plfit-1.0.1-r0.apk | 54416 | 2025-Jan-04 03:47 |
| plfit-static-1.0.1-r0.apk | 39684 | 2025-Jan-04 03:47 |
| plfit-libs-1.0.1-r0.apk | 42257 | 2025-Jan-04 03:47 |
| plfit-dev-1.0.1-r0.apk | 6635 | 2025-Jan-04 03:47 |
| libzrtpcpp-4.7.0-r0.apk | 162286 | 2025-Jan-04 21:55 |
| libzrtpcpp-dev-4.7.0-r0.apk | 38763 | 2025-Jan-04 21:55 |
| twinkle-1.10.3-r3.apk | 2485015 | 2025-Jan-04 21:55 |
| twinkle-doc-1.10.3-r3.apk | 3580 | 2025-Jan-04 21:55 |
| perl-datetime-format-atom-1.8.0-r0.apk | 3229 | 2025-Jan-05 15:14 |
| perl-datetime-format-atom-doc-1.8.0-r0.apk | 3861 | 2025-Jan-05 15:14 |
| perl-datetime-format-rfc3339-1.10.0-r0.apk | 4495 | 2025-Jan-05 15:14 |
| perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4236 | 2025-Jan-05 15:14 |
| gkrellm-2.3.11-r0.apk | 358753 | 2025-Jan-08 22:36 |
| gkrellm-dev-2.3.11-r0.apk | 16900 | 2025-Jan-08 22:36 |
| gkrellm-doc-2.3.11-r0.apk | 18974 | 2025-Jan-08 22:36 |
| gkrellm-lang-2.3.11-r0.apk | 388219 | 2025-Jan-08 22:36 |
| gkrellm-server-2.3.11-r0.apk | 52712 | 2025-Jan-08 22:36 |
| perl-uri-db-0.23-r0.apk | 11150 | 2025-Jan-09 05:26 |
| perl-uri-db-doc-0.23-r0.apk | 8555 | 2025-Jan-09 05:26 |
| lkrg-0.9.6-r1.apk | 107242 | 2025-Jan-09 11:44 |
| lkrg-doc-0.9.6-r1.apk | 22086 | 2025-Jan-09 11:44 |
| tree-sitter-haskell-0.23.1-r0.apk | 290511 | 2025-Jan-09 16:04 |
| lomiri-notifications-1.3.1-r0.apk | 98288 | 2025-Jan-10 10:08 |
| py3-blockdiag-pyc-3.0.0-r6.apk | 152404 | 2025-Jan-10 16:19 |
| libqofono-0.124-r0.apk | 1246 | 2025-Jan-10 16:19 |
| libqofono-dev-0.124-r0.apk | 43105 | 2025-Jan-10 16:19 |
| libqofono-qt5-0.124-r0.apk | 292656 | 2025-Jan-10 16:19 |
| libqofono-qt6-0.124-r0.apk | 413624 | 2025-Jan-10 16:19 |
| py3-blockdiag-3.0.0-r6.apk | 69655 | 2025-Jan-10 16:19 |
| py3-blockdiag-tests-3.0.0-r6.apk | 2627088 | 2025-Jan-10 16:19 |
| monopd-0.10.4-r0.apk | 92172 | 2025-Jan-11 11:11 |
| monopd-openrc-0.10.4-r0.apk | 1724 | 2025-Jan-11 11:11 |
| whatsie-4.16.3-r0.apk | 16024469 | 2025-Jan-12 13:30 |
| whatsie-doc-4.16.3-r0.apk | 2254 | 2025-Jan-12 13:30 |
| typstyle-0.12.14-r0.apk | 486227 | 2025-Jan-12 13:52 |
| elementary-theme-8.1.0-r0.apk | 1571156 | 2025-Jan-12 20:47 |
| zutty-0.16-r0.apk | 146633 | 2025-Jan-12 21:00 |
| zutty-doc-0.16-r0.apk | 68161 | 2025-Jan-12 21:00 |
| libxo-dev-1.7.5-r0.apk | 78354 | 2025-Jan-12 22:45 |
| libxo-1.7.5-r0.apk | 190320 | 2025-Jan-12 22:45 |
| libxo-doc-1.7.5-r0.apk | 64327 | 2025-Jan-12 22:45 |
| diceware-1.0.1-r0.apk | 342042 | 2025-Jan-13 22:49 |
| diceware-pyc-1.0.1-r0.apk | 18490 | 2025-Jan-13 22:49 |
| aoetools-doc-37-r2.apk | 14080 | 2025-Jan-14 15:34 |
| aoetools-37-r2.apk | 26060 | 2025-Jan-14 15:34 |
| highfive-2.10.1-r0.apk | 77292 | 2025-Jan-15 02:50 |
| libm4ri-20240729-r2.apk | 125708 | 2025-Jan-15 18:06 |
| libm4ri-dev-20240729-r2.apk | 32266 | 2025-Jan-15 18:06 |
| libm4ri-static-20240729-r2.apk | 138567 | 2025-Jan-15 18:06 |
| libm4rie-20200125-r5.apk | 175705 | 2025-Jan-15 18:06 |
| libm4rie-dev-20200125-r5.apk | 24978 | 2025-Jan-15 18:06 |
| libm4rie-static-20200125-r5.apk | 193520 | 2025-Jan-15 18:06 |
| py3-spnego-0.11.2-r0.apk | 120737 | 2025-Jan-16 07:52 |
| py3-spnego-pyc-0.11.2-r0.apk | 224332 | 2025-Jan-16 07:52 |
| piler-1.4.7-r0.apk | 2243508 | 2025-Jan-16 10:12 |
| piler-openrc-1.4.7-r0.apk | 2238 | 2025-Jan-16 10:12 |
| perl-libintl-perl-doc-1.35-r0.apk | 585130 | 2025-Jan-16 13:35 |
| perl-libintl-perl-1.35-r0.apk | 312242 | 2025-Jan-16 13:35 |
| perl-dbix-class-0.082844-r0.apk | 364007 | 2025-Jan-16 17:26 |
| perl-dbix-class-doc-0.082844-r0.apk | 430595 | 2025-Jan-16 17:26 |
| clevis-21-r0.apk | 57922 | 2025-Jan-26 06:27 |
| clevis-bash-completion-21-r0.apk | 2085 | 2025-Jan-26 06:27 |
| clevis-dbg-21-r0.apk | 65950 | 2025-Jan-26 06:27 |
| clevis-doc-21-r0.apk | 23727 | 2025-Jan-26 06:27 |
| dcmtk-3.6.9-r0.apk | 1345957 | 2025-Jan-26 06:27 |
| dcmtk-dev-3.6.9-r0.apk | 1697572 | 2025-Jan-26 06:27 |
| dcmtk-doc-3.6.9-r0.apk | 263593 | 2025-Jan-26 06:27 |
| dcmtk-openrc-3.6.9-r0.apk | 1724 | 2025-Jan-26 06:27 |
| gxlimg-0_git20240711-r0.apk | 20647 | 2025-Jan-26 06:27 |
| kodaskanna-lang-0.2.2-r0.apk | 23752 | 2025-Jan-26 06:27 |
| kodaskanna-0.2.2-r0.apk | 54606 | 2025-Jan-26 06:27 |
| libdcmtk-3.6.9-r0.apk | 6479244 | 2025-Jan-26 06:27 |
| morph-browser-1.1.2-r0.apk | 560999 | 2025-Jan-26 06:27 |
| morph-browser-lang-1.1.2-r0.apk | 334632 | 2025-Jan-26 06:27 |
| nuzzle-1.6-r0.apk | 11468 | 2025-Jan-26 06:27 |
| nuzzle-doc-1.6-r0.apk | 3281 | 2025-Jan-26 06:27 |
| py3-milc-1.9.1-r0.apk | 26616 | 2025-Jan-26 06:27 |
| py3-bibtexparser-1.4.3-r0.apk | 41199 | 2025-Jan-26 06:27 |
| py3-bibtexparser-pyc-1.4.3-r0.apk | 49955 | 2025-Jan-26 06:27 |
| py3-cdio-2.1.1-r6.apk | 101994 | 2025-Jan-26 06:27 |
| py3-cdio-pyc-2.1.1-r6.apk | 44183 | 2025-Jan-26 06:27 |
| py3-flask-limiter-3.10.1-r0.apk | 27246 | 2025-Jan-26 06:27 |
| py3-flask-limiter-pyc-3.10.1-r0.apk | 48102 | 2025-Jan-26 06:27 |
| py3-isbnlib-3.10.14-r0.apk | 43685 | 2025-Jan-26 06:27 |
| py3-isbnlib-pyc-3.10.14-r0.apk | 68285 | 2025-Jan-26 06:27 |
| py3-milc-pyc-1.9.1-r0.apk | 42496 | 2025-Jan-26 06:27 |
| tang-doc-15-r0.apk | 21062 | 2025-Jan-26 06:27 |
| tang-15-r0.apk | 16703 | 2025-Jan-26 06:27 |
| tang-dbg-15-r0.apk | 32743 | 2025-Jan-26 06:27 |
| tang-openrc-15-r0.apk | 1936 | 2025-Jan-26 06:27 |
| trace-cmd-dbg-3.3.1-r1.apk | 518970 | 2025-Jan-26 06:27 |
| trace-cmd-3.3.1-r1.apk | 168373 | 2025-Jan-26 06:27 |
| trace-cmd-bash-completion-3.3.1-r1.apk | 3431 | 2025-Jan-26 06:27 |
| trace-cmd-doc-3.3.1-r1.apk | 175376 | 2025-Jan-26 06:27 |
| vcdimager-dev-2.0.1-r5.apk | 127598 | 2025-Jan-26 06:27 |
| vcdimager-2.0.1-r5.apk | 482493 | 2025-Jan-26 06:27 |
| vcdimager-doc-2.0.1-r5.apk | 76071 | 2025-Jan-26 06:27 |
| py3-pytaglib-3.0.0-r0.apk | 40768 | 2025-Jan-26 19:30 |
| py3-pytaglib-pyc-3.0.0-r0.apk | 2940 | 2025-Jan-26 19:30 |
| py3-opendht-3.1.11-r0.apk | 149303 | 2025-Jan-27 20:56 |
| opendht-3.1.11-r0.apk | 186609 | 2025-Jan-27 20:56 |
| opendht-dev-3.1.11-r0.apk | 72587 | 2025-Jan-27 20:56 |
| opendht-doc-3.1.11-r0.apk | 3095 | 2025-Jan-27 20:56 |
| opendht-libs-3.1.11-r0.apk | 578856 | 2025-Jan-27 20:56 |
| fastd-23-r0.apk | 72804 | 2025-Jan-27 21:33 |
| fastd-doc-23-r0.apk | 3357 | 2025-Jan-27 21:33 |
| fastd-openrc-23-r0.apk | 1738 | 2025-Jan-27 21:33 |
| py3-ioctl-opt-pyc-1.3-r0.apk | 4760 | 2025-Jan-27 21:37 |
| py3-ioctl-opt-1.3-r0.apk | 11902 | 2025-Jan-27 21:37 |
| py3-wgconfig-1.1.0-r0.apk | 22494 | 2025-Jan-29 06:50 |
| py3-wgconfig-pyc-1.1.0-r0.apk | 11977 | 2025-Jan-29 06:50 |
| qpdfview-0.5-r2.apk | 1036947 | 2025-Jan-29 19:49 |
| qpdfview-doc-0.5-r2.apk | 4349 | 2025-Jan-29 19:49 |
| katarakt-0.2-r1.apk | 88573 | 2025-Jan-29 19:49 |
| py3-poppler-qt5-21.3.0-r2.apk | 121560 | 2025-Jan-29 19:49 |
| gtk-session-lock-0.2.0-r0.apk | 30863 | 2025-Feb-01 16:09 |
| fuzzylite-6.0-r2.apk | 4616 | 2025-Feb-01 16:09 |
| fuzzylite-dev-6.0-r2.apk | 70073 | 2025-Feb-01 16:09 |
| fuzzylite-doc-6.0-r2.apk | 2138 | 2025-Feb-01 16:09 |
| fuzzylite-libs-6.0-r2.apk | 343469 | 2025-Feb-01 16:09 |
| gtk-session-lock-dev-0.2.0-r0.apk | 5404 | 2025-Feb-01 16:09 |
| gtklock-4.0.0-r0.apk | 19764 | 2025-Feb-01 16:09 |
| gtklock-doc-4.0.0-r0.apk | 3044 | 2025-Feb-01 16:09 |
| metadata-cleaner-2.5.6-r0.apk | 50297 | 2025-Feb-01 16:09 |
| metadata-cleaner-doc-2.5.6-r0.apk | 1994073 | 2025-Feb-01 16:09 |
| metadata-cleaner-lang-2.5.6-r0.apk | 67488 | 2025-Feb-01 16:09 |
| rosenpass-0.2.2-r1.apk | 918000 | 2025-Feb-01 18:23 |
| svgbob-0.7.6-r0.apk | 427327 | 2025-Feb-01 21:08 |
| ttyper-1.6.0-r0.apk | 572488 | 2025-Feb-05 21:45 |
| gamemode-1.8.2-r0.apk | 77322 | 2025-Feb-05 23:30 |
| gamemode-dev-1.8.2-r0.apk | 5228 | 2025-Feb-05 23:30 |
| gamemode-doc-1.8.2-r0.apk | 7724 | 2025-Feb-05 23:30 |
| libnest2d-0.4-r7.apk | 1246 | 2025-Feb-06 04:44 |
| libnest2d-dev-0.4-r7.apk | 71551 | 2025-Feb-06 04:44 |
| py3-pynest2d-5.2.2-r5.apk | 245264 | 2025-Feb-06 04:44 |
| binwalk-3.1.0-r0.apk | 994742 | 2025-Feb-07 15:46 |
| cm256cc-1.1.1-r1.apk | 10900 | 2025-Feb-08 23:46 |
| aptdec-1.8.0-r1.apk | 87497 | 2025-Feb-08 23:46 |
| aptdec-dev-1.8.0-r1.apk | 3509 | 2025-Feb-08 23:46 |
| aptdec-libs-1.8.0-r1.apk | 15616 | 2025-Feb-08 23:46 |
| cm256cc-dev-1.1.1-r1.apk | 15483 | 2025-Feb-08 23:46 |
| supercollider-3.13.0-r6.apk | 8018839 | 2025-Feb-08 23:46 |
| sc3-plugins-3.13.0-r2.apk | 10318393 | 2025-Feb-08 23:46 |
| supercollider-dev-3.13.0-r6.apk | 40146 | 2025-Feb-08 23:46 |
| py3-x-wr-timezone-2.0.1-r0.apk | 11933 | 2025-Feb-09 15:13 |
| py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7267 | 2025-Feb-09 15:13 |
| kimchi-lang-3.0.0-r8.apk | 176030 | 2025-Feb-12 17:33 |
| kimchi-3.0.0-r8.apk | 541593 | 2025-Feb-12 17:33 |
| kimchi-pyc-3.0.0-r8.apk | 487506 | 2025-Feb-12 17:33 |
| py3-truststore-0.10.1-r0.apk | 17911 | 2025-Feb-12 17:34 |
| py3-truststore-pyc-0.10.1-r0.apk | 26116 | 2025-Feb-12 17:34 |
| py3-pypandoc-1.15-r0.apk | 21209 | 2025-Feb-12 22:35 |
| py3-pypandoc-pyc-1.15-r0.apk | 23565 | 2025-Feb-12 22:35 |
| rsstail-doc-2.2-r0.apk | 2819 | 2025-Feb-13 07:17 |
| rsstail-2.2-r0.apk | 8784 | 2025-Feb-13 07:17 |
| libxml++-5.4.0-r0.apk | 65844 | 2025-Feb-13 07:45 |
| libxml++-dev-5.4.0-r0.apk | 30070 | 2025-Feb-13 07:45 |
| click-doc-0.5.2-r4.apk | 3387 | 2025-Feb-17 15:07 |
| barrier-2.4.0-r2.apk | 962333 | 2025-Feb-17 15:07 |
| barrier-doc-2.4.0-r2.apk | 13303 | 2025-Feb-17 15:07 |
| click-0.5.2-r4.apk | 162148 | 2025-Feb-17 15:07 |
| click-dev-0.5.2-r4.apk | 9346 | 2025-Feb-17 15:07 |
| click-pyc-0.5.2-r4.apk | 178970 | 2025-Feb-17 15:07 |
| draco-static-1.5.7-r2.apk | 1537076 | 2025-Feb-17 15:07 |
| dhewm3-1.5.4-r0.apk | 5214686 | 2025-Feb-17 15:07 |
| draco-1.5.7-r2.apk | 825212 | 2025-Feb-17 15:07 |
| draco-dev-1.5.7-r2.apk | 209622 | 2025-Feb-17 15:07 |
| draco-tools-1.5.7-r2.apk | 1236274 | 2025-Feb-17 15:07 |
| gmenuharness-0.1.4-r2.apk | 37483 | 2025-Feb-17 15:07 |
| flann-1.9.2-r1.apk | 1666356 | 2025-Feb-17 15:07 |
| flann-dev-1.9.2-r1.apk | 1027367 | 2025-Feb-17 15:07 |
| flann-doc-1.9.2-r1.apk | 2591 | 2025-Feb-17 15:07 |
| gmenuharness-dev-0.1.4-r2.apk | 4162 | 2025-Feb-17 15:07 |
| gstreamermm-dev-1.10.0-r6.apk | 317172 | 2025-Feb-17 15:07 |
| gstreamermm-1.10.0-r6.apk | 474231 | 2025-Feb-17 15:07 |
| litehtml-static-0.9-r2.apk | 521861 | 2025-Feb-17 15:07 |
| libqtdbusmock-0.9.1-r2.apk | 69350 | 2025-Feb-17 15:07 |
| litehtml-0.9-r2.apk | 312103 | 2025-Feb-17 15:07 |
| litehtml-dev-0.9-r2.apk | 42649 | 2025-Feb-17 15:07 |
| log4cxx-1.1.0-r3.apk | 519317 | 2025-Feb-17 15:07 |
| log4cxx-dev-1.1.0-r3.apk | 138444 | 2025-Feb-17 15:07 |
| lomiri-libusermetrics-1.3.3-r1.apk | 174621 | 2025-Feb-17 15:07 |
| lomiri-libusermetrics-dev-1.3.3-r1.apk | 7985 | 2025-Feb-17 15:07 |
| lomiri-libusermetrics-doc-1.3.3-r1.apk | 233094 | 2025-Feb-17 15:07 |
| lomiri-libusermetrics-lang-1.3.3-r1.apk | 44215 | 2025-Feb-17 15:07 |
| openvpn3-3.8.5-r1.apk | 386284 | 2025-Feb-17 15:08 |
| openvpn3-dev-3.8.5-r1.apk | 683024 | 2025-Feb-17 15:08 |
| pcl-1.14.1-r0.apk | 488760 | 2025-Feb-17 15:08 |
| pcl-dev-1.14.1-r0.apk | 396175 | 2025-Feb-17 15:08 |
| pcl-libs-1.14.1-r0.apk | 1307306 | 2025-Feb-17 15:08 |
| py3-pymsteams-0.2.5-r0.apk | 12648 | 2025-Feb-17 15:08 |
| py3-pymsteams-pyc-0.2.5-r0.apk | 6697 | 2025-Feb-17 15:08 |
| repowerd-2023.07-r3.apk | 879618 | 2025-Feb-17 15:08 |
| repowerd-openrc-2023.07-r3.apk | 1715 | 2025-Feb-17 15:08 |
| bochs-2.8-r1.apk | 915207 | 2025-Feb-22 15:37 |
| bochs-doc-2.8-r1.apk | 142334 | 2025-Feb-22 15:37 |
| py3-marshmallow-3.26.1-r0.apk | 48688 | 2025-Feb-22 15:37 |
| py3-marshmallow-pyc-3.26.1-r0.apk | 86936 | 2025-Feb-22 15:37 |
| sauerbraten-2020.12.29-r4.apk | 979211289 | 2025-Feb-22 15:38 |
| azpainter-3.0.11-r0.apk | 805269 | 2025-Feb-22 16:07 |
| azpainter-doc-3.0.11-r0.apk | 42904 | 2025-Feb-22 16:07 |
| kirc-0.3.3-r0.apk | 15509 | 2025-Feb-22 16:07 |
| kirc-doc-0.3.3-r0.apk | 2844 | 2025-Feb-22 16:07 |
| ueberzug-18.3.1-r0.apk | 66866 | 2025-Feb-22 16:07 |
| ueberzug-pyc-18.3.1-r0.apk | 65296 | 2025-Feb-22 16:07 |
| rdrview-0.1.3-r0.apk | 28772 | 2025-Feb-22 19:23 |
| rdrview-doc-0.1.3-r0.apk | 3782 | 2025-Feb-22 19:23 |
| ab-tidy-0.1.0-r2.apk | 104554 | 2025-Feb-23 21:00 |
| recyclarr-7.4.1-r0.apk | 2716905 | 2025-Feb-24 11:55 |
| recyclarr-doc-7.4.1-r0.apk | 2264 | 2025-Feb-24 11:55 |
| porla-0.41.0-r2.apk | 4038934 | 2025-Feb-24 15:36 |
| porla-doc-0.41.0-r2.apk | 2245 | 2025-Feb-24 15:36 |
| porla-openrc-0.41.0-r2.apk | 2753 | 2025-Feb-24 15:36 |
| php81-pecl-memprof-3.1.0-r0.apk | 14531 | 2025-Feb-24 17:23 |
| php84-pecl-memprof-3.1.0-r0.apk | 14545 | 2025-Feb-24 17:23 |
| ecasound-2.9.3-r4.apk | 694927 | 2025-Feb-24 21:33 |
| ecasound-dev-2.9.3-r4.apk | 1200195 | 2025-Feb-24 21:33 |
| ecasound-doc-2.9.3-r4.apk | 39373 | 2025-Feb-24 21:33 |
| shntool-3.0.10-r5.apk | 59750 | 2025-Feb-24 21:33 |
| shntool-doc-3.0.10-r5.apk | 10518 | 2025-Feb-24 21:33 |
| xa-2.4.1-r0.apk | 81289 | 2025-Feb-25 12:36 |
| xa-doc-2.4.1-r0.apk | 17612 | 2025-Feb-25 12:36 |
| belcard-5.3.105-r0.apk | 16375 | 2025-Feb-25 12:48 |
| belcard-dev-5.3.105-r0.apk | 11726 | 2025-Feb-25 12:48 |
| belcard-libs-5.3.105-r0.apk | 209328 | 2025-Feb-25 12:48 |
| belle-sip-5.3.105-r0.apk | 598339 | 2025-Feb-25 12:52 |
| belle-sip-dev-5.3.105-r0.apk | 55170 | 2025-Feb-25 12:52 |
| belr-5.3.105-r0.apk | 112362 | 2025-Feb-25 12:52 |
| belr-dev-5.3.105-r0.apk | 14881 | 2025-Feb-25 12:52 |
| php81-pecl-csv-0.4.3-r0.apk | 10404 | 2025-Feb-25 15:45 |
| php84-pecl-csv-0.4.3-r0.apk | 10431 | 2025-Feb-25 15:45 |
| spampd-2.62-r0.apk | 39446 | 2025-Feb-25 22:31 |
| spampd-openrc-2.62-r0.apk | 2023 | 2025-Feb-25 22:31 |
| wf-config-0.9.0-r0.apk | 108368 | 2025-Feb-27 20:17 |
| wf-shell-doc-0.9.0-r0.apk | 3156 | 2025-Feb-27 20:17 |
| wf-shell-dev-0.9.0-r0.apk | 1690 | 2025-Feb-27 20:17 |
| wf-shell-0.9.0-r0.apk | 6377549 | 2025-Feb-27 20:17 |
| wf-config-dev-0.9.0-r0.apk | 16647 | 2025-Feb-27 20:17 |
| wcm-0.9.0-r0.apk | 374678 | 2025-Feb-27 20:17 |
| wayfire-plugins-extra-0.9.0-r0.apk | 579406 | 2025-Feb-27 20:17 |
| wayfire-doc-0.9.0-r0.apk | 3727 | 2025-Feb-27 20:17 |
| wayfire-dev-0.9.0-r0.apk | 132910 | 2025-Feb-27 20:17 |
| wayfire-0.9.0-r0.apk | 2604520 | 2025-Feb-27 20:17 |
| spotify-player-0.20.4-r0.apk | 4344685 | 2025-Mar-02 15:57 |
| cargo-crev-0.26.3-r0.apk | 6023267 | 2025-Mar-02 16:12 |
| kodi-inputstream-adaptive-21.5.9-r0.apk | 1088156 | 2025-Mar-02 16:15 |
| kodi-inputstream-ffmpegdirect-21.3.7-r0.apk | 357047 | 2025-Mar-02 16:15 |
| kodi-inputstream-rtmp-21.1.2-r0.apk | 89896 | 2025-Mar-02 16:15 |
| kodi-pvr-hts-21.2.6-r0.apk | 305950 | 2025-Mar-02 16:15 |
| kodi-pvr-iptvsimple-21.10.2-r0.apk | 944817 | 2025-Mar-02 16:15 |
| kodi-vfs-libarchive-21.0.2-r0.apk | 115112 | 2025-Mar-02 16:15 |
| yices2-2.6.5-r0.apk | 2269134 | 2025-Mar-02 23:04 |
| yices2-dev-2.6.5-r0.apk | 42385 | 2025-Mar-02 23:04 |
| yices2-libs-2.6.5-r0.apk | 836716 | 2025-Mar-02 23:04 |
| libuecc-7-r4.apk | 9904 | 2025-Mar-03 16:40 |
| libuecc-dev-7-r4.apk | 4747 | 2025-Mar-03 16:40 |
| wiringx-0_git20240317-r2.apk | 60130 | 2025-Mar-03 16:40 |
| wiringx-dev-0_git20240317-r2.apk | 86524 | 2025-Mar-03 16:40 |
| stockfish-17-r0.apk | 64789992 | 2025-Mar-03 22:40 |
| vim-nerdtree-7.1.3-r0.apk | 68158 | 2025-Mar-04 21:00 |
| flightgear-2024.1.1-r0.apk | 10302926 | 2025-Mar-05 00:16 |
| flightgear-bash-completion-2024.1.1-r0.apk | 5632 | 2025-Mar-05 00:16 |
| simgear-2024.1.1-r0.apk | 2225246 | 2025-Mar-05 00:16 |
| flightgear-dbg-2024.1.1-r0.apk | 21746374 | 2025-Mar-05 00:16 |
| flightgear-doc-2024.1.1-r0.apk | 59460 | 2025-Mar-05 00:16 |
| flightgear-zsh-completion-2024.1.1-r0.apk | 7506 | 2025-Mar-05 00:16 |
| simgear-dev-2024.1.1-r0.apk | 413778 | 2025-Mar-05 00:16 |
| cvise-pyc-2.11.0-r0.apk | 61138 | 2025-Mar-11 03:55 |
| cvise-2.11.0-r0.apk | 4712693 | 2025-Mar-11 03:55 |
| mediastreamer2-plugin-openh264-5.2.0_git20231020..> | 12396 | 2025-Mar-11 03:55 |
| tree-sitter-git-rebase-0_git20240722-r0.apk | 5596 | 2025-Mar-11 03:55 |
| py3-jaraco.vcs-2.4.1-r0.apk | 10436 | 2025-Mar-11 03:55 |
| py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16335 | 2025-Mar-11 03:55 |
| ruff-lsp-0.0.62-r0.apk | 21782 | 2025-Mar-11 03:55 |
| ruff-lsp-pyc-0.0.62-r0.apk | 35826 | 2025-Mar-11 03:55 |
| tree-sitter-dart-0_git20250228-r0.apk | 101653 | 2025-Mar-11 03:55 |
| lomiri-weather-app-6.0.2-r0.apk | 307225 | 2025-Mar-11 12:02 |
| lomiri-weather-app-lang-6.0.2-r0.apk | 219165 | 2025-Mar-11 12:02 |
| jotdown-0.7.0-r0.apk | 214257 | 2025-Mar-11 22:54 |
| libzvbi-0.2.44-r0.apk | 224361 | 2025-Mar-11 22:54 |
| libzvbi-dev-0.2.44-r0.apk | 14649 | 2025-Mar-11 22:54 |
| futhark-0.25.28-r0.apk | 24035117 | 2025-Mar-11 22:54 |
| libzvbi-static-0.2.44-r0.apk | 295658 | 2025-Mar-11 22:54 |
| zvbi-0.2.44-r0.apk | 177590 | 2025-Mar-11 22:54 |
| zvbi-doc-0.2.44-r0.apk | 21553 | 2025-Mar-11 22:54 |
| ampy-doc-1.1.0-r6.apk | 4194 | 2025-Mar-26 11:50 |
| ampy-1.1.0-r6.apk | 15782 | 2025-Mar-26 11:50 |
| ampy-pyc-1.1.0-r6.apk | 19919 | 2025-Mar-26 11:50 |
| cataclysm-dda-doc-0h-r0.apk | 4755 | 2025-Mar-26 11:50 |
| cataclysm-dda-0h-r0.apk | 20347661 | 2025-Mar-26 11:50 |
| cataclysm-dda-curses-0h-r0.apk | 11717141 | 2025-Mar-26 11:50 |
| cataclysm-dda-lang-0h-r0.apk | 39385968 | 2025-Mar-26 11:50 |
| cbqn-0.9.0-r0.apk | 768940 | 2025-Mar-26 11:50 |
| cataclysm-dda-tiles-0h-r0.apk | 50681711 | 2025-Mar-26 11:50 |
| ddcci-driver-linux-src-0.4.5-r2.apk | 19576 | 2025-Mar-26 11:50 |
| efl-dev-1.28.1-r2.apk | 1908942 | 2025-Mar-26 11:50 |
| efl-1.28.1-r2.apk | 35539283 | 2025-Mar-26 11:50 |
| efl-gdb-1.28.1-r2.apk | 1731 | 2025-Mar-26 11:50 |
| gsettings-qt-1.0.0-r0.apk | 30243 | 2025-Mar-26 11:50 |
| gsettings-qt-dev-1.0.0-r0.apk | 3552 | 2025-Mar-26 11:50 |
| haxe-4.3.3-r1.apk | 12772953 | 2025-Mar-26 11:50 |
| haxe-doc-4.3.3-r1.apk | 7934 | 2025-Mar-26 11:50 |
| hpnssh-18.6.2-r0.apk | 2474614 | 2025-Mar-26 11:50 |
| hpnssh-doc-18.6.2-r0.apk | 101533 | 2025-Mar-26 11:50 |
| lgogdownloader-doc-3.16-r1.apk | 8714 | 2025-Mar-26 11:50 |
| leptosfmt-0.1.33-r0.apk | 989241 | 2025-Mar-26 11:50 |
| leptosfmt-doc-0.1.33-r0.apk | 6185 | 2025-Mar-26 11:50 |
| lgogdownloader-3.16-r1.apk | 360256 | 2025-Mar-26 11:50 |
| lldap-0.6.1-r0.apk | 9898656 | 2025-Mar-26 11:51 |
| perl-file-changenotify-0.31-r0.apk | 12395 | 2025-Mar-26 11:51 |
| perl-catalyst-action-renderview-0.17-r0.apk | 3890 | 2025-Mar-26 11:51 |
| perl-catalyst-action-renderview-doc-0.17-r0.apk | 4140 | 2025-Mar-26 11:51 |
| perl-catalyst-devel-1.42-r0.apk | 55366 | 2025-Mar-26 11:51 |
| perl-catalyst-devel-doc-1.42-r0.apk | 11657 | 2025-Mar-26 11:51 |
| perl-catalyst-plugin-configloader-0.35-r0.apk | 5517 | 2025-Mar-26 11:51 |
| perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10569 | 2025-Mar-26 11:51 |
| perl-catalyst-plugin-static-simple-0.37-r0.apk | 8901 | 2025-Mar-26 11:51 |
| perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7590 | 2025-Mar-26 11:51 |
| perl-catalyst-runtime-5.90132-r0.apk | 153281 | 2025-Mar-26 11:51 |
| perl-catalyst-runtime-doc-5.90132-r0.apk | 221538 | 2025-Mar-26 11:51 |
| perl-cgi-struct-1.21-r0.apk | 8022 | 2025-Mar-26 11:51 |
| perl-cgi-struct-doc-1.21-r0.apk | 6941 | 2025-Mar-26 11:51 |
| perl-class-c3-adopt-next-0.14-r0.apk | 5203 | 2025-Mar-26 11:51 |
| perl-class-c3-adopt-next-doc-0.14-r0.apk | 4787 | 2025-Mar-26 11:51 |
| perl-color-rgb-util-0.609-r0.apk | 9684 | 2025-Mar-26 11:51 |
| perl-color-rgb-util-doc-0.609-r0.apk | 7539 | 2025-Mar-26 11:51 |
| perl-data-visitor-0.32-r0.apk | 10008 | 2025-Mar-26 11:51 |
| perl-data-visitor-doc-0.32-r0.apk | 8512 | 2025-Mar-26 11:51 |
| perl-file-changenotify-doc-0.31-r0.apk | 14342 | 2025-Mar-26 11:51 |
| perl-json-validator-5.15-r0.apk | 59551 | 2025-Mar-26 11:51 |
| perl-json-validator-doc-5.15-r0.apk | 34629 | 2025-Mar-26 11:51 |
| perl-mojolicious-plugin-openapi-5.11-r0.apk | 29047 | 2025-Mar-26 11:51 |
| perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 34266 | 2025-Mar-26 11:51 |
| perl-moosex-configfromfile-0.14-r0.apk | 4187 | 2025-Mar-26 11:51 |
| perl-moosex-configfromfile-doc-0.14-r0.apk | 4250 | 2025-Mar-26 11:51 |
| perl-moosex-emulate-class-accessor-fast-0.009032..> | 5564 | 2025-Mar-26 11:51 |
| perl-moosex-emulate-class-accessor-fast-doc-0.00..> | 5470 | 2025-Mar-26 11:51 |
| perl-moosex-getopt-0.78-r0.apk | 13947 | 2025-Mar-26 11:51 |
| perl-moosex-getopt-doc-0.78-r0.apk | 25311 | 2025-Mar-26 11:51 |
| perl-moosex-methodattributes-0.32-r0.apk | 8761 | 2025-Mar-26 11:51 |
| perl-moosex-methodattributes-doc-0.32-r0.apk | 22582 | 2025-Mar-26 11:51 |
| perl-moosex-role-parameterized-1.11-r0.apk | 7912 | 2025-Mar-26 11:51 |
| perl-moosex-role-parameterized-doc-1.11-r0.apk | 24065 | 2025-Mar-26 11:51 |
| perl-moosex-simpleconfig-0.11-r0.apk | 3884 | 2025-Mar-26 11:51 |
| perl-moosex-simpleconfig-doc-0.11-r0.apk | 4258 | 2025-Mar-26 11:51 |
| perl-moosex-types-path-tiny-0.012-r0.apk | 4101 | 2025-Mar-26 11:51 |
| perl-moosex-types-path-tiny-doc-0.012-r0.apk | 4212 | 2025-Mar-26 11:51 |
| perl-moosex-types-stringlike-0.003-r0.apk | 3120 | 2025-Mar-26 11:51 |
| perl-moosex-types-stringlike-doc-0.003-r0.apk | 3668 | 2025-Mar-26 11:51 |
| perl-plack-middleware-methodoverride-0.20-r0.apk | 3726 | 2025-Mar-26 11:51 |
| perl-plack-middleware-methodoverride-doc-0.20-r0..> | 4140 | 2025-Mar-26 11:51 |
| perl-plack-test-externalserver-0.02-r0.apk | 2879 | 2025-Mar-26 11:51 |
| perl-plack-test-externalserver-doc-0.02-r0.apk | 3202 | 2025-Mar-26 11:51 |
| perl-text-simpletable-2.07-r0.apk | 4569 | 2025-Mar-26 11:51 |
| perl-text-simpletable-doc-2.07-r0.apk | 3534 | 2025-Mar-26 11:51 |
| perl-tie-toobject-0.03-r0.apk | 2663 | 2025-Mar-26 11:51 |
| perl-tie-toobject-doc-0.03-r0.apk | 3249 | 2025-Mar-26 11:51 |
| perl-tree-simple-visitorfactory-0.16-r0.apk | 20387 | 2025-Mar-26 11:51 |
| perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 47282 | 2025-Mar-26 11:51 |
| perl-uri-find-20160806-r0.apk | 13946 | 2025-Mar-26 11:51 |
| perl-uri-find-doc-20160806-r0.apk | 9343 | 2025-Mar-26 11:51 |
| perl-uri-ws-0.03-r0.apk | 2397 | 2025-Mar-26 11:51 |
| perl-uri-ws-doc-0.03-r0.apk | 4432 | 2025-Mar-26 11:51 |
| pop-cursor-theme-3.5.1-r0.apk | 13437928 | 2025-Mar-26 11:51 |
| pop-icon-theme-3.5.1-r0.apk | 1333958 | 2025-Mar-26 11:51 |
| py3-agithub-2.2.2-r7.apk | 19297 | 2025-Mar-26 11:51 |
| py3-agithub-pyc-2.2.2-r7.apk | 21792 | 2025-Mar-26 11:51 |
| py3-mistletoe-pyc-1.4.0-r0.apk | 95236 | 2025-Mar-26 11:51 |
| py3-mistletoe-1.4.0-r0.apk | 45635 | 2025-Mar-26 11:51 |
| repo-2.53-r0.apk | 17187 | 2025-Mar-26 11:51 |
| repo-doc-2.53-r0.apk | 39382 | 2025-Mar-26 11:51 |
| sdl3_image-dev-3.2.4-r0.apk | 12341 | 2025-Mar-26 11:51 |
| sdl3_image-3.2.4-r0.apk | 79402 | 2025-Mar-26 11:51 |
| sdl3_image-doc-3.2.4-r0.apk | 2113 | 2025-Mar-26 11:51 |
| sentrypeer-4.0.4-r0.apk | 1778710 | 2025-Mar-26 11:51 |
| sentrypeer-doc-4.0.4-r0.apk | 3362 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-latte-left-0_git202503..> | 550237 | 2025-Mar-26 11:51 |
| simp1e-cursors-0_git20250312-r0.apk | 457400 | 2025-Mar-26 11:51 |
| simp1e-cursors-adw-0_git20250312-r0.apk | 489265 | 2025-Mar-26 11:51 |
| simp1e-cursors-adw-dark-0_git20250312-r0.apk | 493561 | 2025-Mar-26 11:51 |
| simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 496614 | 2025-Mar-26 11:51 |
| simp1e-cursors-adw-left-0_git20250312-r0.apk | 494603 | 2025-Mar-26 11:51 |
| simp1e-cursors-breeze-0_git20250312-r0.apk | 516343 | 2025-Mar-26 11:51 |
| simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 532448 | 2025-Mar-26 11:51 |
| simp1e-cursors-breeze-dark-left-0_git20250312-r0..> | 538939 | 2025-Mar-26 11:51 |
| simp1e-cursors-breeze-left-0_git20250312-r0.apk | 521535 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-frappe-0_git20250312-r..> | 547864 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-frappe-left-0_git20250..> | 560593 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-latte-0_git20250312-r0..> | 540220 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-macchiato-0_git2025031..> | 545433 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-macchiato-left-0_git20..> | 557505 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-mocha-0_git20250312-r0..> | 542605 | 2025-Mar-26 11:51 |
| simp1e-cursors-catppuccin-mocha-left-0_git202503..> | 552891 | 2025-Mar-26 11:51 |
| simp1e-cursors-dark-0_git20250312-r0.apk | 495529 | 2025-Mar-26 11:51 |
| simp1e-cursors-dark-left-0_git20250312-r0.apk | 501310 | 2025-Mar-26 11:51 |
| simp1e-cursors-doc-0_git20250312-r0.apk | 14162 | 2025-Mar-26 11:51 |
| simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 537582 | 2025-Mar-26 11:51 |
| simp1e-cursors-gruvbox-dark-left-0_git20250312-r..> | 549841 | 2025-Mar-26 11:51 |
| simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 529523 | 2025-Mar-26 11:51 |
| simp1e-cursors-gruvbox-light-left-0_git20250312-..> | 539241 | 2025-Mar-26 11:51 |
| simp1e-cursors-left-0_git20250312-r0.apk | 459268 | 2025-Mar-26 11:51 |
| simp1e-cursors-mix-dark-0_git20250312-r0.apk | 498407 | 2025-Mar-26 11:51 |
| simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 503996 | 2025-Mar-26 11:51 |
| simp1e-cursors-mix-light-0_git20250312-r0.apk | 460334 | 2025-Mar-26 11:51 |
| simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 462263 | 2025-Mar-26 11:51 |
| simp1e-cursors-nord-dark-0_git20250312-r0.apk | 548483 | 2025-Mar-26 11:51 |
| simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 561726 | 2025-Mar-26 11:51 |
| simp1e-cursors-nord-light-0_git20250312-r0.apk | 530309 | 2025-Mar-26 11:51 |
| simp1e-cursors-nord-light-left-0_git20250312-r0...> | 537276 | 2025-Mar-26 11:51 |
| simp1e-cursors-rose-pine-0_git20250312-r0.apk | 546287 | 2025-Mar-26 11:51 |
| simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 551322 | 2025-Mar-26 11:51 |
| simp1e-cursors-rose-pine-dawn-left-0_git20250312..> | 563808 | 2025-Mar-26 11:51 |
| simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 558211 | 2025-Mar-26 11:51 |
| simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 550800 | 2025-Mar-26 11:51 |
| simp1e-cursors-rose-pine-moon-left-0_git20250312..> | 563388 | 2025-Mar-26 11:51 |
| simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 536264 | 2025-Mar-26 11:51 |
| simp1e-cursors-solarized-dark-left-0_git20250312..> | 547852 | 2025-Mar-26 11:51 |
| simp1e-cursors-solarized-light-0_git20250312-r0...> | 543811 | 2025-Mar-26 11:51 |
| simp1e-cursors-solarized-light-left-0_git2025031..> | 555052 | 2025-Mar-26 11:51 |
| simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 542632 | 2025-Mar-26 11:51 |
| simp1e-cursors-tokyo-night-left-0_git20250312-r0..> | 553932 | 2025-Mar-26 11:51 |
| simp1e-cursors-tokyo-night-light-0_git20250312-r..> | 532319 | 2025-Mar-26 11:51 |
| simp1e-cursors-tokyo-night-light-left-0_git20250..> | 539545 | 2025-Mar-26 11:51 |
| simp1e-cursors-tokyo-night-storm-0_git20250312-r..> | 546745 | 2025-Mar-26 11:51 |
| simp1e-cursors-tokyo-night-storm-left-0_git20250..> | 558732 | 2025-Mar-26 11:51 |
| simp1e-cursors-zenburn-0_git20250312-r0.apk | 541960 | 2025-Mar-26 11:51 |
| simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 553117 | 2025-Mar-26 11:51 |
| taskwarrior-tui-0.26.3-r0.apk | 1141721 | 2025-Mar-26 11:51 |
| tanidvr-1.4.1-r2.apk | 25483 | 2025-Mar-26 11:51 |
| tanidvr-dhav2mkv-1.4.1-r2.apk | 14403 | 2025-Mar-26 11:51 |
| taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1777 | 2025-Mar-26 11:51 |
| taskwarrior-tui-doc-0.26.3-r0.apk | 4035 | 2025-Mar-26 11:51 |
| taskwarrior-tui-bash-completion-0.26.3-r0.apk | 2030 | 2025-Mar-26 11:51 |
| trigger-rally-0.6.7-r3.apk | 301101 | 2025-Mar-26 11:51 |
| trigger-rally-data-0.6.7-r3.apk | 369090776 | 2025-Mar-26 11:51 |
| trigger-rally-doc-0.6.7-r3.apk | 28810 | 2025-Mar-26 11:51 |
| yoshimi-doc-2.3.3.3-r0.apk | 4740672 | 2025-Mar-26 11:51 |
| yoshimi-2.3.3.3-r0.apk | 6062873 | 2025-Mar-26 11:51 |
| zed-0.138.4-r5.apk | 33783776 | 2025-Mar-26 11:51 |
| cherrytree-doc-1.4.0-r0.apk | 2152 | 2025-Mar-26 17:40 |
| cherrytree-lang-1.4.0-r0.apk | 879166 | 2025-Mar-26 17:40 |
| cherrytree-1.4.0-r0.apk | 2693270 | 2025-Mar-26 17:40 |
| gpscorrelate-lang-2.3-r0.apk | 17808 | 2025-Mar-27 06:36 |
| gpscorrelate-2.3-r0.apk | 48814 | 2025-Mar-27 06:36 |
| gpscorrelate-cli-2.3-r0.apk | 24317 | 2025-Mar-27 06:36 |
| gpscorrelate-doc-2.3-r0.apk | 291797 | 2025-Mar-27 06:36 |
| php81-pecl-timezonedb-2025.2-r0.apk | 193763 | 2025-Mar-27 11:06 |
| brltty-static-6.7-r1.apk | 25417 | 2025-Apr-02 17:03 |
| brltty-lang-6.7-r1.apk | 152257 | 2025-Apr-02 17:03 |
| brltty-6.7-r1.apk | 2320961 | 2025-Apr-02 17:03 |
| brltty-dev-6.7-r1.apk | 143447 | 2025-Apr-02 17:03 |
| brltty-doc-6.7-r1.apk | 9614 | 2025-Apr-02 17:03 |
| dum-0.1.20-r1.apk | 332094 | 2025-Apr-02 17:03 |
| hfst-dev-3.16.2-r0.apk | 213999 | 2025-Apr-02 17:03 |
| hfst-libs-3.16.2-r0.apk | 1969729 | 2025-Apr-02 17:03 |
| hfst-doc-3.16.2-r0.apk | 71338 | 2025-Apr-02 17:03 |
| hfst-3.16.2-r0.apk | 1513939 | 2025-Apr-02 17:03 |
| kotlin-language-server-1.3.13-r0.apk | 87303345 | 2025-Apr-02 17:03 |
| perl-string-escape-doc-2010.002-r0.apk | 8020 | 2025-Apr-02 17:03 |
| perl-catalyst-action-rest-1.21-r0.apk | 26007 | 2025-Apr-02 17:03 |
| perl-catalyst-action-rest-doc-1.21-r0.apk | 27721 | 2025-Apr-02 17:03 |
| perl-catalyst-plugin-authentication-0.10024-r0.apk | 32994 | 2025-Apr-02 17:03 |
| perl-catalyst-plugin-authentication-doc-0.10024-..> | 57244 | 2025-Apr-02 17:03 |
| perl-string-escape-2010.002-r0.apk | 9085 | 2025-Apr-02 17:03 |
| py3-hfst-3.16.2-r0.apk | 360610 | 2025-Apr-02 17:03 |
| tauri-cli-2.4.0-r0.apk | 7249474 | 2025-Apr-02 17:03 |
| identme-0.6.0-r0.apk | 47682 | 2025-Apr-03 12:33 |
| perl-catalyst-manual-5.9013-r0.apk | 2748 | 2025-Apr-04 05:22 |
| perl-catalyst-manual-doc-5.9013-r0.apk | 384131 | 2025-Apr-04 05:22 |
| perl-catalyst-authentication-credential-http-doc..> | 6921 | 2025-Apr-04 05:38 |
| perl-catalyst-authentication-credential-http-1.0..> | 8815 | 2025-Apr-04 05:38 |
| perl-catalyst-controller-actionrole-doc-0.17-r0...> | 4553 | 2025-Apr-04 05:40 |
| perl-catalyst-controller-actionrole-0.17-r0.apk | 5106 | 2025-Apr-04 05:40 |
| perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13597 | 2025-Apr-04 09:43 |
| perl-catalyst-view-email-0.36-r0.apk | 9296 | 2025-Apr-04 09:43 |
| perl-catalyst-view-email-doc-0.36-r0.apk | 11017 | 2025-Apr-04 09:43 |
| perl-email-sender-doc-2.601-r0.apk | 43106 | 2025-Apr-04 09:43 |
| perl-email-sender-2.601-r0.apk | 25259 | 2025-Apr-04 09:43 |
| perl-catalyst-plugin-i18n-0.10-r0.apk | 4224 | 2025-Apr-04 09:43 |
| perl-object-signature-1.08-r0.apk | 3763 | 2025-Apr-04 11:56 |
| perl-catalyst-plugin-session-0.43-r0.apk | 14777 | 2025-Apr-04 11:56 |
| perl-catalyst-plugin-session-doc-0.43-r0.apk | 25738 | 2025-Apr-04 11:56 |
| perl-object-signature-doc-1.08-r0.apk | 5543 | 2025-Apr-04 11:56 |
| ircd-hybrid-8.2.47-r0.apk | 509160 | 2025-Apr-05 04:00 |
| ircd-hybrid-doc-8.2.47-r0.apk | 3700 | 2025-Apr-05 04:00 |
| wabt-1.0.37-r0.apk | 4106042 | 2025-Apr-06 21:37 |
| wabt-doc-1.0.37-r0.apk | 13740 | 2025-Apr-06 21:37 |
| gambit-dev-4.9.5-r1.apk | 7380234 | 2025-Apr-07 10:34 |
| gambit-4.9.5-r1.apk | 11038200 | 2025-Apr-07 10:34 |
| gambit-doc-4.9.5-r1.apk | 4440 | 2025-Apr-07 10:34 |
| welle-io-2.7-r0.apk | 410976 | 2025-Apr-07 18:40 |
| welle-cli-2.7-r0.apk | 309651 | 2025-Apr-07 18:40 |
| welle-io-doc-2.7-r0.apk | 4073 | 2025-Apr-07 18:40 |
| gnome-latex-3.48.0-r0.apk | 371310 | 2025-Apr-07 21:28 |
| gnome-latex-doc-3.48.0-r0.apk | 110597 | 2025-Apr-07 21:28 |
| gnome-latex-lang-3.48.0-r0.apk | 542981 | 2025-Apr-07 21:28 |
| ovos-messagebus-0.0.10-r0.apk | 10189 | 2025-Apr-08 07:43 |
| ovos-messagebus-pyc-0.0.10-r0.apk | 6809 | 2025-Apr-08 07:43 |
| orage-4.20.1-r0.apk | 589743 | 2025-Apr-08 11:49 |
| orage-lang-4.20.1-r0.apk | 1265932 | 2025-Apr-08 11:49 |
| php82-snappy-0.2.3-r0.apk | 5645 | 2025-Apr-09 18:19 |
| php84-snappy-0.2.3-r0.apk | 5643 | 2025-Apr-09 18:19 |
| solanum-6.0.0-r0.apk | 245924 | 2025-Apr-10 13:04 |
| solanum-lang-6.0.0-r0.apk | 48459 | 2025-Apr-10 13:04 |
| decoder-0.7.0-r0.apk | 1979360 | 2025-Apr-10 13:26 |
| decoder-lang-0.7.0-r0.apk | 60381 | 2025-Apr-10 13:26 |
| libretro-flycast-0_git20220406-r3.apk | 1705544 | 2025-Apr-10 20:50 |
| libretro-ppsspp-0_git20210516-r15.apk | 2423581 | 2025-Apr-10 20:50 |
| srb2-2.2.15-r1.apk | 1919326 | 2025-Apr-10 20:50 |
| srb2-data-2.2.15-r1.apk | 167342804 | 2025-Apr-10 20:50 |
| lomiri-ui-extras-0.7.0-r0.apk | 263266 | 2025-Apr-11 05:46 |
| lomiri-ui-extras-lang-0.7.0-r0.apk | 52855 | 2025-Apr-11 05:46 |
| emacs-lsp-booster-0.2.1-r0.apk | 398063 | 2025-Apr-13 20:28 |
| emacs-lsp-booster-doc-0.2.1-r0.apk | 2323 | 2025-Apr-13 20:28 |
| font-aref-ruqaa-1.006-r0.apk | 365884 | 2025-Apr-13 20:28 |
| nfoview-doc-2.1-r0.apk | 8196 | 2025-Apr-13 20:28 |
| nfoview-2.1-r0.apk | 39601 | 2025-Apr-13 20:28 |
| perl-template-timer-doc-1.00-r0.apk | 3745 | 2025-Apr-13 20:28 |
| perl-carp-assert-more-2.9.0-r0.apk | 9185 | 2025-Apr-13 20:28 |
| perl-carp-assert-more-doc-2.9.0-r0.apk | 7979 | 2025-Apr-13 20:28 |
| perl-catalyst-model-adaptor-0.10-r0.apk | 6641 | 2025-Apr-13 20:28 |
| perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12403 | 2025-Apr-13 20:28 |
| perl-catalyst-view-tt-0.46-r0.apk | 13942 | 2025-Apr-13 20:28 |
| perl-catalyst-view-tt-doc-0.46-r0.apk | 13001 | 2025-Apr-13 20:28 |
| perl-template-timer-1.00-r0.apk | 3512 | 2025-Apr-13 20:28 |
| perl-test-www-mechanize-1.60-r0.apk | 15170 | 2025-Apr-13 20:28 |
| perl-test-www-mechanize-doc-1.60-r0.apk | 10325 | 2025-Apr-13 20:28 |
| py3-dash-2.18.2-r0.apk | 7792562 | 2025-Apr-13 20:28 |
| py3-dash-bootstrap-components-1.6.0-r0.apk | 16883 | 2025-Apr-13 20:28 |
| py3-doi-0.2-r0.apk | 6313 | 2025-Apr-13 20:28 |
| py3-doi-pyc-0.2-r0.apk | 4859 | 2025-Apr-13 20:28 |
| py3-slidge-style-parser-0.1.9-r0.apk | 205936 | 2025-Apr-13 20:28 |
| py3-slidge-style-parser-pyc-0.1.9-r0.apk | 2016 | 2025-Apr-13 20:28 |
| supersonik-0.1.0-r2.apk | 1100884 | 2025-Apr-13 20:28 |
| usbtop-1.0-r0.apk | 13819 | 2025-Apr-13 20:28 |
| sqruff-0.25.26-r0.apk | 2133001 | 2025-Apr-13 20:42 |
| sqruff-doc-0.25.26-r0.apk | 8850 | 2025-Apr-13 20:42 |
| lomiri-content-hub-2.1.0-r0.apk | 283234 | 2025-Apr-13 22:06 |
| lomiri-content-hub-doc-2.1.0-r0.apk | 1448551 | 2025-Apr-13 22:06 |
| lomiri-content-hub-lang-2.1.0-r0.apk | 47771 | 2025-Apr-13 22:06 |
| lomiri-content-hub-dev-2.1.0-r0.apk | 11479 | 2025-Apr-13 22:06 |
| libresprite-doc-1.2-r0.apk | 15076 | 2025-Apr-13 22:16 |
| libresprite-1.2-r0.apk | 15448638 | 2025-Apr-13 22:16 |
| dprint-fish-completion-0.49.1-r0.apk | 3870 | 2025-Apr-13 22:35 |
| dprint-bash-completion-0.49.1-r0.apk | 3316 | 2025-Apr-13 22:35 |
| dprint-0.49.1-r0.apk | 4002133 | 2025-Apr-13 22:35 |
| dprint-zsh-completion-0.49.1-r0.apk | 4238 | 2025-Apr-13 22:35 |
| dprint-doc-0.49.1-r0.apk | 3267 | 2025-Apr-13 22:35 |
| cpplint-pyc-2.0.2-r0.apk | 101875 | 2025-Apr-13 23:33 |
| cpplint-2.0.2-r0.apk | 82223 | 2025-Apr-13 23:33 |
| py3-ecbdata-0.1.1-r0.apk | 13793 | 2025-Apr-13 23:33 |
| zapzap-pyc-6.0.1.8-r0.apk | 128965 | 2025-Apr-13 23:58 |
| zapzap-6.0.1.8-r0.apk | 111428 | 2025-Apr-13 23:58 |
| capnet-assist-lang-8.0.0-r0.apk | 38138 | 2025-Apr-14 10:10 |
| capnet-assist-8.0.0-r0.apk | 45102 | 2025-Apr-14 10:10 |
| zita-resampler-1.11.2-r0.apk | 24472 | 2025-Apr-14 21:16 |
| zita-resampler-dev-1.11.2-r0.apk | 3334 | 2025-Apr-14 21:16 |
| zita-resampler-doc-1.11.2-r0.apk | 4140 | 2025-Apr-14 21:16 |
| py3-pysonic-1.0.3-r0.apk | 35591 | 2025-Apr-17 18:34 |
| py3-pysonic-pyc-1.0.3-r0.apk | 33009 | 2025-Apr-17 18:34 |
| yarn-berry-4.9.1-r0.apk | 1064071 | 2025-Apr-17 18:34 |
| powerctl-1.1-r6.apk | 118996 | 2025-Apr-18 16:34 |
| powerctl-doc-1.1-r6.apk | 3283 | 2025-Apr-18 16:34 |
| way-secure-0.2.0-r0.apk | 193074 | 2025-Apr-18 22:10 |
| py3-plotly-5.24.1-r2.apk | 21485085 | 2025-Apr-18 22:10 |
| way-secure-doc-0.2.0-r0.apk | 3104 | 2025-Apr-18 22:10 |
| perl-test-file-doc-1.995-r0.apk | 6956 | 2025-Apr-19 17:55 |
| perl-test-file-1.995-r0.apk | 11685 | 2025-Apr-19 17:55 |
| perl-expect-1.38-r0.apk | 33226 | 2025-Apr-19 19:02 |
| perl-expect-doc-1.38-r0.apk | 20781 | 2025-Apr-19 19:02 |
| perl-test-www-mechanize-catalyst-0.62-r0.apk | 7535 | 2025-Apr-20 04:32 |
| perl-catalyst-plugin-session-state-cookie-0.18-r..> | 4970 | 2025-Apr-20 04:32 |
| perl-catalyst-plugin-session-state-cookie-doc-0...> | 4963 | 2025-Apr-20 04:32 |
| perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6373 | 2025-Apr-20 04:32 |
| perl-expect-simple-0.04-r0.apk | 5624 | 2025-Apr-20 04:38 |
| perl-expect-simple-doc-0.04-r0.apk | 5001 | 2025-Apr-20 04:38 |
| b2-tools-4.3.2-r0.apk | 74222 | 2025-May-03 20:02 |
| b2-tools-pyc-4.3.2-r0.apk | 139143 | 2025-May-03 20:02 |
| emacs-avy-embark-collect-1.1-r0.apk | 3919 | 2025-May-03 20:02 |
| emacs-ement-0.16-r0.apk | 297715 | 2025-May-03 20:02 |
| emacs-elfeed-3.4.2-r0.apk | 92730 | 2025-May-03 20:02 |
| emacs-embark-1.1-r0.apk | 113563 | 2025-May-03 20:02 |
| emacs-embark-consult-1.1-r0.apk | 10659 | 2025-May-03 20:02 |
| emacs-taxy-0.10.2-r0.apk | 11741 | 2025-May-03 20:02 |
| emacs-taxy-magit-section-0.14.3-r0.apk | 18112 | 2025-May-03 20:02 |
| emacs-total-recall-0_git20250426-r0.apk | 18019 | 2025-May-03 20:02 |
| emacs-total-recall-examples-0_git20250426-r0.apk | 14263 | 2025-May-03 20:02 |
| flamelens-doc-0.3.1-r0.apk | 3749 | 2025-May-03 20:03 |
| flamelens-0.3.1-r0.apk | 1108488 | 2025-May-03 20:03 |
| lcalc-doc-2.1.0-r0.apk | 447254 | 2025-May-03 20:03 |
| lcalc-libs-2.1.0-r0.apk | 221825 | 2025-May-03 20:03 |
| lcalc-2.1.0-r0.apk | 170846 | 2025-May-03 20:03 |
| lcalc-dev-2.1.0-r0.apk | 52596 | 2025-May-03 20:03 |
| jedi-language-server-0.45.1-r0.apk | 32510 | 2025-May-03 20:03 |
| jedi-language-server-pyc-0.45.1-r0.apk | 50938 | 2025-May-03 20:03 |
| libstirshaken-0_git20240208-r4.apk | 53014 | 2025-May-03 20:03 |
| libstirshaken-tools-0_git20240208-r4.apk | 171172 | 2025-May-03 20:03 |
| libstirshaken-dev-0_git20240208-r4.apk | 83293 | 2025-May-03 20:03 |
| perl-carp-repl-doc-0.18-r0.apk | 6009 | 2025-May-03 20:03 |
| perl-catalystx-injectcomponent-0.025-r0.apk | 3501 | 2025-May-03 20:03 |
| perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3668 | 2025-May-03 20:03 |
| perl-catalystx-repl-0.04-r0.apk | 3382 | 2025-May-03 20:03 |
| perl-catalystx-repl-doc-0.04-r0.apk | 3709 | 2025-May-03 20:03 |
| perl-devel-repl-1.003029-r0.apk | 29027 | 2025-May-03 20:03 |
| perl-devel-repl-doc-1.003029-r0.apk | 61590 | 2025-May-03 20:03 |
| perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3745 | 2025-May-03 20:03 |
| perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3505 | 2025-May-03 20:03 |
| perl-html-formhandler-0.40068-r0.apk | 138719 | 2025-May-03 20:03 |
| perl-html-formhandler-doc-0.40068-r0.apk | 330984 | 2025-May-03 20:03 |
| perl-lexical-persistence-1.023-r0.apk | 7692 | 2025-May-03 20:03 |
| perl-lexical-persistence-doc-1.023-r0.apk | 7752 | 2025-May-03 20:03 |
| perl-moosex-object-pluggable-0.0014-r0.apk | 6125 | 2025-May-03 20:03 |
| perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5631 | 2025-May-03 20:03 |
| perl-moosex-relatedclassroles-0.004-r0.apk | 2863 | 2025-May-03 20:03 |
| perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3422 | 2025-May-03 20:03 |
| nicotine-plus-3.3.10-r0.apk | 1634612 | 2025-May-03 20:03 |
| nicotine-plus-doc-3.3.10-r0.apk | 2640 | 2025-May-03 20:03 |
| nicotine-plus-lang-3.3.10-r0.apk | 775215 | 2025-May-03 20:03 |
| nicotine-plus-pyc-3.3.10-r0.apk | 807793 | 2025-May-03 20:03 |
| nvtop-3.2.0-r0.apk | 66931 | 2025-May-03 20:03 |
| nvtop-doc-3.2.0-r0.apk | 3615 | 2025-May-03 20:03 |
| ol-2.6-r0.apk | 1071142 | 2025-May-03 20:03 |
| ol-dev-2.6-r0.apk | 16216 | 2025-May-03 20:03 |
| ol-doc-2.6-r0.apk | 2647 | 2025-May-03 20:03 |
| perl-carp-repl-0.18-r0.apk | 6095 | 2025-May-03 20:03 |
| perl-moosex-traits-pluggable-0.12-r0.apk | 5062 | 2025-May-03 20:03 |
| perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4311 | 2025-May-03 20:03 |
| perl-moosex-types-loadableclass-0.016-r0.apk | 3299 | 2025-May-03 20:03 |
| perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3835 | 2025-May-03 20:03 |
| perl-test-expect-0.34-r0.apk | 3554 | 2025-May-03 20:03 |
| perl-test-expect-doc-0.34-r0.apk | 3659 | 2025-May-03 20:03 |
| py3-b2sdk-2.8.1-r0.apk | 220074 | 2025-May-03 20:03 |
| py3-remind-pyc-0.19.2-r0.apk | 23063 | 2025-May-03 20:03 |
| php84-pecl-solr-2.8.1-r0.apk | 88799 | 2025-May-03 20:03 |
| py3-remind-0.19.2-r0.apk | 25017 | 2025-May-03 20:03 |
| py3-b2sdk-pyc-2.8.1-r0.apk | 412299 | 2025-May-03 20:03 |
| py3-ovos-bus-client-1.3.4-r0.apk | 51158 | 2025-May-03 20:03 |
| py3-ovos-bus-client-pyc-1.3.4-r0.apk | 91143 | 2025-May-03 20:03 |
| symlinks-doc-1.4.3-r0.apk | 3944 | 2025-May-03 20:03 |
| sshs-4.7.2-r0.apk | 686211 | 2025-May-03 20:03 |
| symlinks-1.4.3-r0.apk | 6635 | 2025-May-03 20:03 |
| typobuster-1.0.0-r0.apk | 132459 | 2025-May-03 20:03 |
| sonar-scanner-7.1.0.4889-r0.apk | 11214303 | 2025-May-05 01:09 |
| cpu-x-bash-completion-5.2.0-r1.apk | 2062 | 2025-May-05 22:11 |
| cpu-x-fish-completion-5.2.0-r1.apk | 2269 | 2025-May-05 22:11 |
| cpu-x-lang-5.2.0-r1.apk | 272315 | 2025-May-05 22:11 |
| cpu-x-5.2.0-r1.apk | 2211905 | 2025-May-05 22:11 |
| cpu-x-zsh-completion-5.2.0-r1.apk | 2174 | 2025-May-05 22:11 |
| perl-catalystx-simplelogin-doc-0.21-r0.apk | 24767 | 2025-May-07 07:38 |
| perl-catalyst-actionrole-acl-0.07-r0.apk | 4435 | 2025-May-07 07:38 |
| perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5880 | 2025-May-07 07:38 |
| perl-catalystx-component-traits-0.19-r0.apk | 4421 | 2025-May-07 07:38 |
| perl-catalystx-component-traits-doc-0.19-r0.apk | 4091 | 2025-May-07 07:38 |
| perl-catalystx-simplelogin-0.21-r0.apk | 10938 | 2025-May-07 07:38 |
| php81-pecl-ds-1.6.0-r0.apk | 59134 | 2025-May-07 13:16 |
| cpdf-2.8.1-r0.apk | 2500464 | 2025-May-08 12:22 |
| commit-lsp-0.1.0-r0.apk | 2181731 | 2025-May-08 12:22 |
| cpdf-doc-2.8.1-r0.apk | 571401 | 2025-May-08 12:22 |
| libglib-testing-0.1.1-r0.apk | 13109 | 2025-May-08 12:22 |
| libcpdf-2.8.1-r0.apk | 2657689 | 2025-May-08 12:22 |
| libcpdf-dev-2.8.1-r0.apk | 17150 | 2025-May-08 12:22 |
| libcpdf-static-2.8.1-r0.apk | 3745338 | 2025-May-08 12:22 |
| libglib-testing-dev-0.1.1-r0.apk | 5648 | 2025-May-08 12:22 |
| libglib-testing-doc-0.1.1-r0.apk | 25612 | 2025-May-08 12:22 |
| ocaml-camlpdf-2.8.1-r0.apk | 6341662 | 2025-May-08 12:22 |
| ocaml-cpdf-2.8.1-r0.apk | 5122351 | 2025-May-08 12:22 |
| py3-cryptg-0.5.0-r0.apk | 172026 | 2025-May-08 12:22 |
| py3-cryptg-pyc-0.5.0-r0.apk | 1955 | 2025-May-08 12:22 |
| py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10509 | 2025-May-08 12:22 |
| py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16412 | 2025-May-08 12:22 |
| py3-sphinxcontrib-plantuml-0.30-r0.apk | 12325 | 2025-May-08 12:22 |
| py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk | 19067 | 2025-May-08 12:22 |
| spreadtrum_flash-1.20240815-r0.apk | 37683 | 2025-May-08 12:22 |
| par2cmdline-turbo-doc-1.3.0-r0.apk | 6048 | 2025-May-08 12:24 |
| par2cmdline-turbo-1.3.0-r0.apk | 237684 | 2025-May-08 12:24 |
| lomiri-clock-app-lang-4.1.1-r0.apk | 466948 | 2025-May-09 17:27 |
| lomiri-system-settings-1.3.2-r0.apk | 1111168 | 2025-May-09 17:27 |
| lomiri-system-settings-lang-1.3.2-r0.apk | 866384 | 2025-May-09 17:27 |
| lomiri-terminal-app-2.0.5-r0.apk | 65149 | 2025-May-09 17:27 |
| lomiri-terminal-app-doc-2.0.5-r0.apk | 2728 | 2025-May-09 17:27 |
| lomiri-terminal-app-lang-2.0.5-r0.apk | 93622 | 2025-May-09 17:27 |
| lomiri-url-dispatcher-0.1.4-r0.apk | 45718 | 2025-May-09 17:27 |
| lomiri-url-dispatcher-lang-0.1.4-r0.apk | 26502 | 2025-May-09 17:27 |
| lomiri-clock-app-4.1.1-r0.apk | 228707 | 2025-May-09 17:27 |
| suru-icon-theme-2025.05.0-r0.apk | 3012096 | 2025-May-09 17:27 |
| lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3390 | 2025-May-09 17:27 |
| elementary-icon-theme-8.1.0-r0.apk | 5293645 | 2025-May-13 21:59 |
| mage-1.13.0-r23.apk | 1576990 | 2025-May-13 21:59 |
| muse-doc-4.2.1-r2.apk | 4311001 | 2025-May-13 22:00 |
| muse-4.2.1-r2.apk | 6330332 | 2025-May-13 22:00 |
| mmar-0.2.5-r1.apk | 2576361 | 2025-May-13 22:00 |
| nsq-1.3.0-r10.apk | 25376733 | 2025-May-13 22:00 |
| php84-pecl-phpy-1.0.11-r1.apk | 42789 | 2025-May-13 22:00 |
| php81-pecl-uuid-1.3.0-r0.apk | 6923 | 2025-May-13 22:00 |
| php83-pecl-phpy-1.0.11-r1.apk | 42748 | 2025-May-13 22:00 |
| piglit-0_git20241106-r1.apk | 96420097 | 2025-May-13 22:00 |
| pixiewps-1.4.2-r2.apk | 51262 | 2025-May-13 22:00 |
| pixiewps-doc-1.4.2-r2.apk | 3484 | 2025-May-13 22:00 |
| prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 2008 | 2025-May-13 22:00 |
| prometheus-unbound-exporter-0.4.6-r5.apk | 3656102 | 2025-May-13 22:00 |
| ptylie-doc-0.2-r2.apk | 3234 | 2025-May-13 22:00 |
| py3-flask-bootstrap-3.3.7.1-r9.apk | 459393 | 2025-May-13 22:00 |
| py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 11136 | 2025-May-13 22:00 |
| py3-pyte-pyc-0.8.2-r3.apk | 40122 | 2025-May-13 22:00 |
| py3-pyte-0.8.2-r3.apk | 30622 | 2025-May-13 22:00 |
| ptylie-0.2-r2.apk | 11868 | 2025-May-13 22:00 |
| py3-xlwt-1.3.0-r10.apk | 95931 | 2025-May-13 22:00 |
| py3-sphinxcontrib-slide-1.0.0-r4.apk | 5003 | 2025-May-13 22:00 |
| py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5692 | 2025-May-13 22:00 |
| py3-unoconv-0.9.0-r4.apk | 26524 | 2025-May-13 22:00 |
| py3-xlwt-pyc-1.3.0-r10.apk | 168845 | 2025-May-13 22:00 |
| readosm-1.1.0-r3.apk | 15294 | 2025-May-13 22:00 |
| qperf-0.4.11-r2.apk | 34741 | 2025-May-13 22:00 |
| qperf-doc-0.4.11-r2.apk | 5699 | 2025-May-13 22:00 |
| readosm-dev-1.1.0-r3.apk | 20551 | 2025-May-13 22:00 |
| river-shifttags-doc-0.2.1-r1.apk | 2429 | 2025-May-13 22:00 |
| river-shifttags-0.2.1-r1.apk | 6383 | 2025-May-13 22:00 |
| hctl-0.2.7-r0.apk | 1266560 | 2025-May-14 00:04 |
| openconnect-sso-pyc-0.8.0_git20230822-r0.apk | 29973 | 2025-May-14 09:13 |
| openconnect-sso-0.8.0_git20230822-r0.apk | 29953 | 2025-May-14 09:13 |
| mjpg-streamer-0_git20210220-r2.apk | 198787 | 2025-May-14 15:03 |
| castero-pyc-0.9.5-r4.apk | 96229 | 2025-May-14 18:17 |
| castero-0.9.5-r4.apk | 51687 | 2025-May-14 18:17 |
| downloader-cli-0.3.4-r2.apk | 2024 | 2025-May-14 18:17 |
| py3-simber-pyc-0.2.6-r5.apk | 16594 | 2025-May-14 18:17 |
| ytmdl-2024.08.15.1-r1.apk | 51372 | 2025-May-14 18:17 |
| ytmdl-bash-completion-2024.08.15.1-r1.apk | 2326 | 2025-May-14 18:17 |
| ytmdl-pyc-2024.08.15.1-r1.apk | 79975 | 2025-May-14 18:17 |
| ytmdl-zsh-completion-2024.08.15.1-r1.apk | 2165 | 2025-May-14 18:17 |
| py3-dogpile.cache-1.3.3-r1.apk | 53839 | 2025-May-14 18:17 |
| py3-dogpile.cache-pyc-1.3.3-r1.apk | 92651 | 2025-May-14 18:17 |
| py3-downloader-cli-0.3.4-r2.apk | 11442 | 2025-May-14 18:17 |
| py3-downloader-cli-pyc-0.3.4-r2.apk | 14464 | 2025-May-14 18:17 |
| py3-ffmpeg-0.2.0-r5.apk | 24198 | 2025-May-14 18:17 |
| py3-ffmpeg-pyc-0.2.0-r5.apk | 33391 | 2025-May-14 18:17 |
| py3-grequests-0.7.0-r3.apk | 6883 | 2025-May-14 18:17 |
| py3-grequests-pyc-0.7.0-r3.apk | 5982 | 2025-May-14 18:17 |
| py3-itunespy-1.6-r5.apk | 9971 | 2025-May-14 18:17 |
| py3-itunespy-pyc-1.6-r5.apk | 14948 | 2025-May-14 18:17 |
| py3-pysrt-1.1.2-r5.apk | 26094 | 2025-May-14 18:17 |
| py3-pysrt-pyc-1.1.2-r5.apk | 23292 | 2025-May-14 18:17 |
| py3-simber-0.2.6-r5.apk | 12171 | 2025-May-14 18:17 |
| py3-youtube-search-1.6.6-r5.apk | 79949 | 2025-May-14 18:17 |
| py3-youtube-search-pyc-1.6.6-r5.apk | 97588 | 2025-May-14 18:17 |
| sandbar-0.1-r1.apk | 14904 | 2025-May-14 18:17 |
| xcape-1.2-r1.apk | 7193 | 2025-May-14 18:17 |
| xcape-doc-1.2-r1.apk | 3150 | 2025-May-14 18:17 |
| xkb-switch-1.8.5-r1.apk | 20452 | 2025-May-14 18:17 |
| xkb-switch-doc-1.8.5-r1.apk | 2165 | 2025-May-14 18:17 |
| py3-cjkwrap-2.2-r6.apk | 4625 | 2025-May-14 19:18 |
| py3-cjkwrap-pyc-2.2-r6.apk | 5377 | 2025-May-14 19:18 |
| py3-confluent-kafka-2.9.0-r0.apk | 188704 | 2025-May-15 10:05 |
| py3-confluent-kafka-pyc-2.9.0-r0.apk | 232269 | 2025-May-15 10:05 |
| py3-python-jwt-4.1.0-r2.apk | 8265 | 2025-May-15 21:22 |
| py3-python-jwt-pyc-4.1.0-r2.apk | 6625 | 2025-May-15 21:22 |
| felix-2.16.1-r0.apk | 630325 | 2025-May-16 08:30 |
| mdbook-linkcheck-0.7.7-r0.apk | 2681424 | 2025-May-16 19:17 |
| mdbook-katex-0.9.4-r0.apk | 1146019 | 2025-May-17 16:37 |
| perl-net-netmask-2.0003-r0.apk | 13843 | 2025-May-18 04:10 |
| perl-net-netmask-doc-2.0003-r0.apk | 8759 | 2025-May-18 04:10 |
| httplz-2.2.0-r0.apk | 1283141 | 2025-May-18 15:38 |
| httplz-doc-2.2.0-r0.apk | 2307 | 2025-May-18 15:38 |
| diskus-0.8.0-r0.apk | 324533 | 2025-May-18 22:20 |
| parse-changelog-0.6.12-r0.apk | 520482 | 2025-May-18 22:20 |
| afew-3.0.1-r0.apk | 74892 | 2025-May-18 22:30 |
| afew-doc-3.0.1-r0.apk | 12691 | 2025-May-18 22:30 |
| dvisvgm-3.4.4-r0.apk | 1103019 | 2025-May-18 22:43 |
| dvisvgm-doc-3.4.4-r0.apk | 26707 | 2025-May-18 22:43 |
| trippy-0.13.0-r0.apk | 2044728 | 2025-May-18 23:20 |
| trippy-bash-completion-0.13.0-r0.apk | 3262 | 2025-May-18 23:20 |
| trippy-zsh-completion-0.13.0-r0.apk | 4967 | 2025-May-18 23:20 |
| apache2-mod-maxminddb-1.2.0-r0.apk | 11674 | 2025-May-19 10:23 |
| river-luatile-0.1.4-r0.apk | 240014 | 2025-May-19 12:47 |
| php82-pecl-excimer-1.2.5-r0.apk | 21240 | 2025-May-20 15:42 |
| php83-pecl-excimer-1.2.5-r0.apk | 21270 | 2025-May-20 15:42 |
| apache-mod-auth-openidc-2.4.16.11-r1.apk | 226159 | 2025-May-22 06:53 |
| apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4553 | 2025-May-22 06:53 |
| apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 322688 | 2025-May-22 06:53 |
| drogon-1.9.4-r2.apk | 1463706 | 2025-May-22 06:53 |
| drogon-dev-1.9.4-r2.apk | 124256 | 2025-May-22 06:53 |
| drogon-doc-1.9.4-r2.apk | 2326 | 2025-May-22 06:53 |
| ripasso-cursive-0.7.0-r0.apk | 3059705 | 2025-May-23 19:48 |
| nb-7.19.1-r0.apk | 155784 | 2025-May-24 22:10 |
| nb-bash-completion-7.19.1-r0.apk | 3059 | 2025-May-24 22:10 |
| nb-doc-7.19.1-r0.apk | 79329 | 2025-May-24 22:10 |
| nb-fish-completion-7.19.1-r0.apk | 2864 | 2025-May-24 22:10 |
| nb-full-7.19.1-r0.apk | 1330 | 2025-May-24 22:10 |
| nb-zsh-completion-7.19.1-r0.apk | 3021 | 2025-May-24 22:10 |
| elementary-dock-8.0.2-r0.apk | 90183 | 2025-May-24 22:57 |
| elementary-dock-lang-8.0.2-r0.apk | 28217 | 2025-May-24 22:57 |
| cln-1.3.7-r1.apk | 447765 | 2025-May-25 07:49 |
| cln-dev-1.3.7-r1.apk | 1230039 | 2025-May-25 07:49 |
| cln-doc-1.3.7-r1.apk | 79202 | 2025-May-25 07:49 |
| endlessh-1.1-r1.apk | 9675 | 2025-May-25 07:49 |
| endlessh-doc-1.1-r1.apk | 2726 | 2025-May-25 07:49 |
| ginac-1.8.9-r0.apk | 1109440 | 2025-May-25 07:49 |
| ginac-dev-1.8.9-r0.apk | 69796 | 2025-May-25 07:49 |
| ginac-doc-1.8.9-r0.apk | 99853 | 2025-May-25 07:49 |
| guetzli-0_git20191025-r2.apk | 152064 | 2025-May-25 07:49 |
| guetzli-dev-0_git20191025-r2.apk | 2435590 | 2025-May-25 07:49 |
| tinyemu-2019.12.21-r0.apk | 150283 | 2025-May-25 23:35 |
| obnc-0.17.2-r0.apk | 149838 | 2025-May-25 23:37 |
| obnc-doc-0.17.2-r0.apk | 34118 | 2025-May-25 23:37 |
| ruby-appraisal-2.5.0-r0.apk | 11131 | 2025-May-25 23:52 |
| ruby-appraisal-doc-2.5.0-r0.apk | 2367 | 2025-May-25 23:52 |
| ruby-benchmark-ips-2.14.0-r0.apk | 14677 | 2025-May-25 23:54 |
| ruby-benchmark-ips-doc-2.14.0-r0.apk | 2321 | 2025-May-25 23:54 |
| ruby-coderay-1.1.3-r0.apk | 91207 | 2025-May-25 23:56 |
| ruby-coderay-doc-1.1.3-r0.apk | 2337 | 2025-May-25 23:56 |
| ruby-fast_gettext-3.1.0-r0.apk | 22670 | 2025-May-25 23:58 |
| ruby-event_emitter-doc-0.2.6-r0.apk | 2321 | 2025-May-26 00:00 |
| ruby-event_emitter-0.2.6-r0.apk | 3150 | 2025-May-26 00:00 |
| libinfnoise-0.3.3-r0.apk | 13198 | 2025-May-26 08:58 |
| infnoise-0.3.3-r0.apk | 14492 | 2025-May-26 08:58 |
| infnoise-doc-0.3.3-r0.apk | 4245 | 2025-May-26 08:58 |
| infnoise-openrc-0.3.3-r0.apk | 1770 | 2025-May-26 08:58 |
| elementary-settings-daemon-8.3.0-r0.apk | 82902 | 2025-May-26 09:05 |
| elementary-settings-daemon-lang-8.3.0-r0.apk | 75795 | 2025-May-26 09:05 |
| elementary-settings-daemon-openrc-8.3.0-r0.apk | 1840 | 2025-May-26 09:05 |
| touchpad-emulator-0.3-r0.apk | 13450 | 2025-May-26 09:33 |
| linuxwave-0.3.0-r0.apk | 176632 | 2025-May-26 18:36 |
| linuxwave-doc-0.3.0-r0.apk | 3335 | 2025-May-26 18:36 |
| hiawatha-letsencrypt-11.6-r1.apk | 17649 | 2025-May-27 11:07 |
| hiawatha-11.6-r1.apk | 187673 | 2025-May-27 11:07 |
| hiawatha-doc-11.6-r1.apk | 21524 | 2025-May-27 11:07 |
| hiawatha-openrc-11.6-r1.apk | 1727 | 2025-May-27 11:07 |
| turntable-0.3.3-r0.apk | 179768 | 2025-May-27 13:01 |
| turntable-lang-0.3.3-r0.apk | 12638 | 2025-May-27 13:01 |
| font-cascadia-code-2407.24-r1.apk | 538788 | 2025-May-27 14:36 |
| font-cascadia-2407.24-r1.apk | 1298 | 2025-May-27 14:36 |
| font-cascadia-mono-2407.24-r1.apk | 519005 | 2025-May-27 14:36 |
| baikal-pgsql-0.10.1-r1.apk | 1320 | 2025-May-27 21:41 |
| abnfgen-0.21-r0.apk | 18428 | 2025-May-27 21:41 |
| abnfgen-doc-0.21-r0.apk | 5195 | 2025-May-27 21:41 |
| baikal-0.10.1-r1.apk | 1311147 | 2025-May-27 21:41 |
| baikal-mysql-0.10.1-r1.apk | 1322 | 2025-May-27 21:41 |
| baikal-sqlite-0.10.1-r1.apk | 1472 | 2025-May-27 21:41 |
| terminology-lang-1.14.0-r0.apk | 146409 | 2025-May-27 22:49 |
| terminology-1.14.0-r0.apk | 2818210 | 2025-May-27 22:49 |
| terminology-doc-1.14.0-r0.apk | 9211 | 2025-May-27 22:49 |
| kronosnet-1.30-r0.apk | 92780 | 2025-May-27 23:07 |
| kronosnet-dev-1.30-r0.apk | 2809070 | 2025-May-27 23:07 |
| kronosnet-doc-1.30-r0.apk | 108262 | 2025-May-27 23:07 |
| ouch-fish-completion-0.6.1-r0.apk | 3107 | 2025-May-28 07:37 |
| ouch-0.6.1-r0.apk | 1649881 | 2025-May-28 07:37 |
| ouch-bash-completion-0.6.1-r0.apk | 2559 | 2025-May-28 07:37 |
| ouch-doc-0.6.1-r0.apk | 3907 | 2025-May-28 07:37 |
| ouch-zsh-completion-0.6.1-r0.apk | 3199 | 2025-May-28 07:37 |
| corosync-doc-3.1.9-r0.apk | 194825 | 2025-May-29 10:14 |
| botan2-2.19.5-r0.apk | 405784 | 2025-May-29 10:14 |
| botan2-dev-2.19.5-r0.apk | 318346 | 2025-May-29 10:14 |
| botan2-doc-2.19.5-r0.apk | 313572 | 2025-May-29 10:14 |
| botan2-libs-2.19.5-r0.apk | 2636189 | 2025-May-29 10:14 |
| corosync-3.1.9-r0.apk | 287963 | 2025-May-29 10:14 |
| corosync-dev-3.1.9-r0.apk | 481408 | 2025-May-29 10:14 |
| corosync-openrc-3.1.9-r0.apk | 1823 | 2025-May-29 10:14 |
| paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1904 | 2025-May-29 10:14 |
| paged-markdown-3-pdf-0.1.3-r0.apk | 474923 | 2025-May-29 10:14 |
| paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1909 | 2025-May-29 10:14 |
| paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1830 | 2025-May-29 10:14 |
| nmap-parse-output-1.5.1-r1.apk | 20986 | 2025-May-29 12:00 |
| jackdaw-0.3.1-r2.apk | 2072852 | 2025-May-29 12:00 |
| jackdaw-pyc-0.3.1-r2.apk | 372899 | 2025-May-29 12:00 |
| kerberoast-0.2.0-r2.apk | 9550 | 2025-May-29 12:00 |
| kerberoast-pyc-0.2.0-r2.apk | 15417 | 2025-May-29 12:00 |
| masky-0.2.0-r2.apk | 283517 | 2025-May-29 12:00 |
| masky-pyc-0.2.0-r2.apk | 65185 | 2025-May-29 12:00 |
| nmap-parse-output-bash-completion-1.5.1-r1.apk | 2033 | 2025-May-29 12:00 |
| nmap-parse-output-doc-1.5.1-r1.apk | 827074 | 2025-May-29 12:00 |
| py3-mbedtls-2.10.1-r3.apk | 948191 | 2025-May-29 12:00 |
| py3-mbedtls-pyc-2.10.1-r3.apk | 27649 | 2025-May-29 12:00 |
| py3-minidump-0.0.24-r1.apk | 65051 | 2025-May-29 12:00 |
| py3-minidump-pyc-0.0.24-r1.apk | 132195 | 2025-May-29 12:00 |
| py3-winacl-0.1.9-r1.apk | 84670 | 2025-May-29 12:00 |
| py3-winacl-pyc-0.1.9-r1.apk | 134386 | 2025-May-29 12:00 |
| pypykatz-0.6.11-r1.apk | 322960 | 2025-May-29 12:00 |
| pypykatz-pyc-0.6.11-r1.apk | 738431 | 2025-May-29 12:00 |
| toss-1.1-r1.apk | 12655 | 2025-May-29 12:00 |
| uclient-20241022-r0.apk | 19830 | 2025-May-30 01:38 |
| uclient-dev-20241022-r0.apk | 3631 | 2025-May-30 01:38 |
| uclient-fetch-20241022-r0.apk | 10633 | 2025-May-30 01:38 |
| asymptote-3.04-r0.apk | 1417134 | 2025-May-31 06:51 |
| asymptote-doc-3.04-r0.apk | 3288900 | 2025-May-31 06:51 |
| rtl8812au-src-5.6.4.2_git20250530-r0.apk | 2734845 | 2025-May-31 16:23 |
| 66-tools-0.1.2.0-r0.apk | 70531 | 2025-Jun-01 15:50 |
| 66-tools-dbg-0.1.2.0-r0.apk | 112082 | 2025-Jun-01 15:50 |
| 66-tools-dev-0.1.2.0-r0.apk | 2051 | 2025-Jun-01 15:50 |
| 66-tools-doc-0.1.2.0-r0.apk | 40310 | 2025-Jun-01 15:50 |
| 66-tools-nsrules-0.1.2.0-r0.apk | 2921 | 2025-Jun-01 15:50 |
| oblibs-0.3.4.0-r0.apk | 34130 | 2025-Jun-01 15:51 |
| oblibs-dbg-0.3.4.0-r0.apk | 99448 | 2025-Jun-01 15:51 |
| oblibs-dev-0.3.4.0-r0.apk | 263684 | 2025-Jun-01 15:51 |
| qmk-cli-1.1.8-r0.apk | 15333 | 2025-Jun-01 16:52 |
| qmk-cli-pyc-1.1.8-r0.apk | 22415 | 2025-Jun-01 16:52 |
| triforce-0.3.2-r0.apk | 378830 | 2025-Jun-03 07:03 |
| 66-0.8.2.1-r0.apk | 308010 | 2025-Jun-03 19:11 |
| 66-dbg-0.8.2.1-r0.apk | 774400 | 2025-Jun-03 19:11 |
| 66-dev-0.8.2.1-r0.apk | 1826268 | 2025-Jun-03 19:11 |
| 66-doc-0.8.2.1-r0.apk | 194939 | 2025-Jun-03 19:11 |
| 66-init-0.8.2.1-r0.apk | 1576 | 2025-Jun-03 19:11 |
| perl-class-unload-0.11-r0.apk | 2651 | 2025-Jun-04 18:18 |
| perl-class-unload-doc-0.11-r0.apk | 3140 | 2025-Jun-04 18:18 |
| perl-moosex-markasmethods-0.15-r0.apk | 5229 | 2025-Jun-04 18:18 |
| perl-moosex-markasmethods-doc-0.15-r0.apk | 5328 | 2025-Jun-04 18:18 |
| perl-lingua-stem-fr-0.02-r0.apk | 6276 | 2025-Jun-06 14:57 |
| perl-lingua-stem-fr-doc-0.02-r0.apk | 4025 | 2025-Jun-06 14:57 |
| perl-lingua-stem-it-0.02-r0.apk | 5343 | 2025-Jun-06 14:57 |
| perl-lingua-stem-it-doc-0.02-r0.apk | 3627 | 2025-Jun-06 14:57 |
| perl-lingua-stem-ru-0.04-r0.apk | 4218 | 2025-Jun-06 14:57 |
| perl-lingua-stem-ru-doc-0.04-r0.apk | 3834 | 2025-Jun-06 14:57 |
| perl-lingua-pt-stemmer-0.02-r0.apk | 5553 | 2025-Jun-07 02:00 |
| perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4380 | 2025-Jun-07 02:00 |
| perl-text-german-0.06-r0.apk | 13411 | 2025-Jun-07 02:00 |
| perl-text-german-doc-0.06-r0.apk | 3107 | 2025-Jun-07 02:00 |
| sponskrub-3.7.2-r9.apk | 181695 | 2025-Jun-07 08:57 |
| perl-html-formatexternal-26-r0.apk | 17482 | 2025-Jun-07 14:55 |
| perl-shell-guess-doc-0.10-r0.apk | 5917 | 2025-Jun-07 14:55 |
| perl-shell-guess-0.10-r0.apk | 6116 | 2025-Jun-07 14:55 |
| perl-html-formatexternal-doc-26-r0.apk | 23558 | 2025-Jun-07 14:55 |
| perl-lingua-en-words2nums-doc-0.18-r0.apk | 3601 | 2025-Jun-07 14:55 |
| perl-lingua-en-words2nums-0.18-r0.apk | 4709 | 2025-Jun-07 14:55 |
| klong-20221212-r0.apk | 341043 | 2025-Jun-07 15:16 |
| ldc-runtime-cross-loongarch64-1.41.0-r0.apk | 6878789 | 2025-Jun-07 16:12 |
| ldc-runtime-cross-1.41.0-r0.apk | 2557 | 2025-Jun-07 16:12 |
| ldc-runtime-cross-x86_64-1.41.0-r0.apk | 6998531 | 2025-Jun-07 16:12 |
| ldc-runtime-cross-riscv64-1.41.0-r0.apk | 7423869 | 2025-Jun-07 16:12 |
| ldc-runtime-cross-ppc64le-1.41.0-r0.apk | 7185651 | 2025-Jun-07 16:12 |
| faust-static-2.79.3-r0.apk | 548481 | 2025-Jun-07 17:01 |
| faust-doc-2.79.3-r0.apk | 17503148 | 2025-Jun-07 17:01 |
| faust-2.79.3-r0.apk | 7834008 | 2025-Jun-07 17:01 |
| faust-dev-2.79.3-r0.apk | 1440045 | 2025-Jun-07 17:01 |
| faust-vim-2.79.3-r0.apk | 2666 | 2025-Jun-07 17:01 |
| faust-tools-2.79.3-r0.apk | 125344 | 2025-Jun-07 17:01 |
| perl-lingua-en-inflect-number-1.12-r0.apk | 3278 | 2025-Jun-08 16:02 |
| perl-alien-base-modulebuild-1.17-r0.apk | 22264 | 2025-Jun-08 16:02 |
| perl-alien-base-modulebuild-doc-1.17-r0.apk | 54704 | 2025-Jun-08 16:02 |
| perl-lingua-en-findnumber-1.32-r0.apk | 3332 | 2025-Jun-08 16:02 |
| perl-lingua-en-findnumber-doc-1.32-r0.apk | 3564 | 2025-Jun-08 16:02 |
| perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3642 | 2025-Jun-08 16:02 |
| perl-lingua-en-number-isordinal-0.05-r0.apk | 3130 | 2025-Jun-08 16:02 |
| perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3529 | 2025-Jun-08 16:02 |
| perl-lingua-en-tagger-0.31-r0.apk | 558868 | 2025-Jun-08 16:02 |
| perl-lingua-en-tagger-doc-0.31-r0.apk | 4562 | 2025-Jun-08 16:02 |
| perl-lingua-stem-2.31-r0.apk | 12673 | 2025-Jun-08 16:02 |
| perl-lingua-stem-doc-2.31-r0.apk | 34390 | 2025-Jun-08 16:02 |
| perl-lingua-stem-snowball-da-1.01-r0.apk | 4431 | 2025-Jun-08 16:02 |
| perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 3104 | 2025-Jun-08 16:02 |
| perl-memoize-expirelru-0.56-r0.apk | 6371 | 2025-Jun-08 16:02 |
| perl-memoize-expirelru-doc-0.56-r0.apk | 3936 | 2025-Jun-08 16:02 |
| perl-module-path-0.19-r0.apk | 4827 | 2025-Jun-08 16:02 |
| perl-module-path-doc-0.19-r0.apk | 5938 | 2025-Jun-08 16:02 |
| perl-shell-config-generate-0.34-r0.apk | 8028 | 2025-Jun-08 16:02 |
| perl-shell-config-generate-doc-0.34-r0.apk | 6994 | 2025-Jun-08 16:02 |
| perl-snowball-norwegian-1.2-r0.apk | 5396 | 2025-Jun-08 16:02 |
| perl-snowball-norwegian-doc-1.2-r0.apk | 3991 | 2025-Jun-08 16:02 |
| perl-snowball-swedish-1.2-r0.apk | 5366 | 2025-Jun-08 16:02 |
| perl-snowball-swedish-doc-1.2-r0.apk | 3954 | 2025-Jun-08 16:02 |
| youtube-viewer-gtk-3.11.6-r0.apk | 175716 | 2025-Jun-09 05:05 |
| youtube-viewer-3.11.6-r0.apk | 85851 | 2025-Jun-09 05:05 |
| youtube-viewer-doc-3.11.6-r0.apk | 41593 | 2025-Jun-09 05:05 |
| raspberrypi-usbboot-20250227-r0.apk | 909399 | 2025-Jun-09 08:41 |
| perl-path-dispatcher-doc-1.08-r0.apk | 38634 | 2025-Jun-09 12:05 |
| perl-moox-typetiny-0.002003-r0.apk | 3636 | 2025-Jun-09 12:05 |
| perl-moox-typetiny-doc-0.002003-r0.apk | 3242 | 2025-Jun-09 12:05 |
| perl-path-dispatcher-1.08-r0.apk | 14565 | 2025-Jun-09 12:05 |
| perl-lingua-en-inflect-phrase-0.20-r0.apk | 5443 | 2025-Jun-09 12:06 |
| perl-string-toidentifier-en-doc-0.12-r0.apk | 5716 | 2025-Jun-09 12:06 |
| perl-string-toidentifier-en-0.12-r0.apk | 5572 | 2025-Jun-09 12:06 |
| perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3994 | 2025-Jun-09 12:06 |
| perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 79184 | 2025-Jun-09 16:31 |
| perl-text-worddiff-doc-0.09-r0.apk | 14001 | 2025-Jun-09 16:31 |
| perl-dbix-class-cursor-cached-1.001004-r0.apk | 3292 | 2025-Jun-09 16:31 |
| perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 3121 | 2025-Jun-09 16:31 |
| perl-dbix-class-schema-loader-0.07053-r0.apk | 99712 | 2025-Jun-09 16:31 |
| perl-text-worddiff-0.09-r0.apk | 10682 | 2025-Jun-09 16:31 |
| ktx-libs-4.3.2-r1.apk | 1388669 | 2025-Jun-10 02:49 |
| ktx-4.3.2-r1.apk | 1243230 | 2025-Jun-10 02:49 |
| ktx-dev-4.3.2-r1.apk | 29612 | 2025-Jun-10 02:49 |
| py3-libpyshell-pyc-0.4.1-r1.apk | 18738 | 2025-Jun-10 09:40 |
| py3-libpyshell-0.4.1-r1.apk | 11792 | 2025-Jun-10 09:40 |
| mapnik-dev-4.0.6-r1.apk | 497189 | 2025-Jun-10 10:42 |
| mapnik-4.0.6-r1.apk | 11449050 | 2025-Jun-10 10:42 |
| mapnik-doc-4.0.6-r1.apk | 145032 | 2025-Jun-10 10:42 |
| megazeux-2.93d-r0.apk | 1470985 | 2025-Jun-10 13:45 |
| megazeux-doc-2.93d-r0.apk | 476450 | 2025-Jun-10 13:45 |
| py3-rst2pdf-0.102-r0.apk | 158203 | 2025-Jun-10 19:57 |
| py3-svglib-pyc-1.5.1-r0.apk | 43433 | 2025-Jun-10 19:57 |
| py3-rst2pdf-pyc-0.102-r0.apk | 210735 | 2025-Jun-10 19:57 |
| py3-svglib-1.5.1-r0.apk | 30617 | 2025-Jun-10 19:57 |
| py3-svglib-doc-1.5.1-r0.apk | 2128 | 2025-Jun-10 19:57 |
| perl-io-handle-util-0.02-r0.apk | 10880 | 2025-Jun-11 16:34 |
| perl-asa-1.04-r0.apk | 4424 | 2025-Jun-11 16:34 |
| perl-asa-doc-1.04-r0.apk | 5112 | 2025-Jun-11 16:34 |
| perl-io-handle-util-doc-0.02-r0.apk | 10057 | 2025-Jun-11 16:34 |
| perl-catalyst-authentication-store-dbix-class-do..> | 14199 | 2025-Jun-11 16:35 |
| perl-catalyst-model-dbic-schema-0.66-r0.apk | 19582 | 2025-Jun-11 16:35 |
| perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 18336 | 2025-Jun-11 16:35 |
| perl-catalyst-authentication-store-dbix-class-0...> | 13638 | 2025-Jun-11 16:35 |
| w_scan2-doc-1.0.17-r0.apk | 4250 | 2025-Jun-11 17:40 |
| w_scan2-1.0.17-r0.apk | 135469 | 2025-Jun-11 17:40 |
| clementine-1.4.1_git20250503-r0.apk | 6411045 | 2025-Jun-12 15:22 |
| turn-rs-doc-3.4.0-r1.apk | 11086 | 2025-Jun-12 15:22 |
| pulsar-client-cpp-3.7.1-r0.apk | 1339829 | 2025-Jun-12 15:22 |
| pulsar-client-cpp-dev-3.7.1-r0.apk | 66000 | 2025-Jun-12 15:22 |
| py3-arcus-5.3.0-r5.apk | 88730 | 2025-Jun-12 15:22 |
| turn-rs-3.4.0-r1.apk | 554406 | 2025-Jun-12 15:22 |
| turn-rs-openrc-3.4.0-r1.apk | 2002 | 2025-Jun-12 15:22 |
| libeantic-dev-2.1.0-r1.apk | 17921 | 2025-Jun-13 04:56 |
| libeantic-2.1.0-r1.apk | 75754 | 2025-Jun-13 04:56 |
| normaliz-3.10.4-r2.apk | 40732 | 2025-Jun-13 04:56 |
| normaliz-dev-3.10.4-r2.apk | 74297 | 2025-Jun-13 04:56 |
| normaliz-libs-3.10.4-r2.apk | 2629954 | 2025-Jun-13 04:56 |
| tsung-1.8.0-r3.apk | 739075 | 2025-Jun-13 05:35 |
| antimicrox-doc-3.5.1-r0.apk | 24420 | 2025-Jun-13 15:19 |
| antimicrox-3.5.1-r0.apk | 1642754 | 2025-Jun-13 15:19 |
| sentinel-proxy-dev-2.1.0-r1.apk | 4652 | 2025-Jun-13 15:19 |
| sentinel-proxy-2.1.0-r1.apk | 44556 | 2025-Jun-13 15:19 |
| sentinel-proxy-openrc-2.1.0-r1.apk | 2299 | 2025-Jun-13 15:19 |
| logc-0.5.0-r1.apk | 8733 | 2025-Jun-13 15:19 |
| logc-argp-0.5.0-r1.apk | 16706 | 2025-Jun-13 15:19 |
| logc-config-0.5.0-r1.apk | 5482 | 2025-Jun-13 15:19 |
| logc-dev-0.5.0-r1.apk | 8795 | 2025-Jun-13 15:19 |
| hunspell-fr-7.0-r0.apk | 1256138 | 2025-Jun-13 15:23 |
| hunspell-fr-doc-7.0-r0.apk | 2919 | 2025-Jun-13 15:23 |
| perl-web-machine-0.17-r0.apk | 20765 | 2025-Jun-13 18:17 |
| perl-http-headers-actionpack-0.09-r0.apk | 18435 | 2025-Jun-13 18:17 |
| perl-http-headers-actionpack-doc-0.09-r0.apk | 41272 | 2025-Jun-13 18:17 |
| perl-web-machine-doc-0.17-r0.apk | 29394 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-delegate-doc-..> | 4637 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-dbic-0.14-r0...> | 6024 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-dbic-doc-0.14..> | 6588 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-delegate-0.06..> | 4584 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-file-doc-0.18..> | 4103 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3978 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-session-store-file-0.18-r0...> | 3733 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4783 | 2025-Jun-14 02:46 |
| lavacli-2.4-r0.apk | 50508 | 2025-Jun-15 04:00 |
| lavacli-doc-2.4-r0.apk | 34987 | 2025-Jun-15 04:00 |
| lavacli-pyc-2.4-r0.apk | 90282 | 2025-Jun-15 04:00 |
| php81-pecl-couchbase-4.3.0-r0.apk | 4882827 | 2025-Jun-15 04:00 |
| py3-recurring-ical-events-3.8.0-r0.apk | 39815 | 2025-Jun-15 04:00 |
| py3-recurring-ical-events-pyc-3.8.0-r0.apk | 51079 | 2025-Jun-15 04:00 |
| rt5-5.0.8-r0.apk | 17738544 | 2025-Jun-15 04:44 |
| perl-catalystx-profile-doc-0.02-r0.apk | 4650 | 2025-Jun-15 04:46 |
| perl-task-catalyst-4.02-r0.apk | 3021 | 2025-Jun-15 04:46 |
| perl-catalystx-leakchecker-doc-0.06-r0.apk | 3599 | 2025-Jun-15 04:46 |
| perl-catalystx-leakchecker-0.06-r0.apk | 3456 | 2025-Jun-15 04:46 |
| perl-catalystx-profile-0.02-r0.apk | 3150 | 2025-Jun-15 04:46 |
| perl-task-catalyst-doc-4.02-r0.apk | 3768 | 2025-Jun-15 04:46 |
| quickemu-4.9.7-r0.apk | 57579 | 2025-Jun-15 20:03 |
| quickemu-doc-4.9.7-r0.apk | 25232 | 2025-Jun-15 20:03 |
| perl-html-query-doc-0.09-r0.apk | 10342 | 2025-Jun-16 11:50 |
| perl-css-inliner-4027-r0.apk | 16205 | 2025-Jun-16 11:50 |
| perl-css-inliner-doc-4027-r0.apk | 9669 | 2025-Jun-16 11:50 |
| perl-html-query-0.09-r0.apk | 14144 | 2025-Jun-16 11:50 |
| mpv-sponsorblock-2.2.0-r0.apk | 1487626 | 2025-Jun-16 15:16 |
| py3-modern_colorthief-0.1.7-r0.apk | 742036 | 2025-Jun-16 18:00 |
| py3-modern_colorthief-pyc-0.1.7-r0.apk | 2667 | 2025-Jun-16 18:00 |
| dbus-broker-37-r0.apk | 86182 | 2025-Jun-17 11:01 |
| battery-limit-openrc-1-r0.apk | 1883 | 2025-Jun-17 11:01 |
| dbus-broker-doc-37-r0.apk | 6014 | 2025-Jun-17 11:01 |
| lychee-doc-0.19.1-r0.apk | 13225 | 2025-Jun-17 11:01 |
| kontainer-1.0.1-r0.apk | 197434 | 2025-Jun-17 11:01 |
| lychee-0.19.1-r0.apk | 2977895 | 2025-Jun-17 11:01 |
| mdbook-admonish-1.20.0-r0.apk | 993890 | 2025-Jun-17 11:01 |
| today-6.2.1-r0.apk | 3258 | 2025-Jun-17 15:29 |
| today-doc-6.2.1-r0.apk | 3387 | 2025-Jun-17 15:29 |
| py3-jaraco.logging-3.4.0-r0.apk | 5444 | 2025-Jun-17 22:15 |
| py3-jaraco.logging-pyc-3.4.0-r0.apk | 6261 | 2025-Jun-17 22:15 |
| xemu-0.8.74-r0.apk | 4368845 | 2025-Jun-19 00:45 |
| tree-sitter-hcl-1.2.0-r0.apk | 23078 | 2025-Jun-19 00:45 |
| mesonlsp-4.3.7-r4.apk | 2268332 | 2025-Jun-19 05:35 |
| lizardfs-doc-3.13.0-r17.apk | 11717 | 2025-Jun-19 08:44 |
| bindfs-1.17.7-r1.apk | 21775 | 2025-Jun-19 08:44 |
| bindfs-doc-1.17.7-r1.apk | 9271 | 2025-Jun-19 08:44 |
| lizardfs-3.13.0-r17.apk | 110333 | 2025-Jun-19 08:44 |
| lizardfs-bash-completion-3.13.0-r17.apk | 1932 | 2025-Jun-19 08:44 |
| lizardfs-cgi-3.13.0-r17.apk | 32215 | 2025-Jun-19 08:44 |
| lizardfs-cgiserv-3.13.0-r17.apk | 7544 | 2025-Jun-19 08:44 |
| lizardfs-cgiserv-openrc-3.13.0-r17.apk | 2022 | 2025-Jun-19 08:44 |
| lizardfs-chunkserver-3.13.0-r17.apk | 317951 | 2025-Jun-19 08:44 |
| lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1698 | 2025-Jun-19 08:44 |
| lizardfs-client-3.13.0-r17.apk | 1132466 | 2025-Jun-19 08:44 |
| lizardfs-master-3.13.0-r17.apk | 860550 | 2025-Jun-19 08:44 |
| lizardfs-master-openrc-3.13.0-r17.apk | 1686 | 2025-Jun-19 08:44 |
| lizardfs-metalogger-3.13.0-r17.apk | 129416 | 2025-Jun-19 08:44 |
| lizardfs-metalogger-openrc-3.13.0-r17.apk | 1688 | 2025-Jun-19 08:44 |
| moosefs-4.56.6-r2.apk | 261105 | 2025-Jun-19 08:44 |
| moosefs-cgi-4.56.6-r2.apk | 124088 | 2025-Jun-19 08:44 |
| moosefs-cgiserv-4.56.6-r2.apk | 8008 | 2025-Jun-19 08:44 |
| moosefs-cgiserv-openrc-4.56.6-r2.apk | 2042 | 2025-Jun-19 08:44 |
| moosefs-chunkserver-4.56.6-r2.apk | 185549 | 2025-Jun-19 08:44 |
| moosefs-chunkserver-openrc-4.56.6-r2.apk | 1721 | 2025-Jun-19 08:44 |
| moosefs-client-4.56.6-r2.apk | 730495 | 2025-Jun-19 08:44 |
| moosefs-doc-4.56.6-r2.apk | 97458 | 2025-Jun-19 08:44 |
| moosefs-master-4.56.6-r2.apk | 371567 | 2025-Jun-19 08:44 |
| moosefs-master-openrc-4.56.6-r2.apk | 1709 | 2025-Jun-19 08:44 |
| moosefs-metalogger-4.56.6-r2.apk | 41422 | 2025-Jun-19 08:44 |
| moosefs-metalogger-openrc-4.56.6-r2.apk | 1713 | 2025-Jun-19 08:44 |
| moosefs-static-4.56.6-r2.apk | 726777 | 2025-Jun-19 08:44 |
| tup-0.7.11-r1.apk | 235782 | 2025-Jun-19 08:44 |
| tup-doc-0.7.11-r1.apk | 21368 | 2025-Jun-19 08:44 |
| tup-vim-0.7.11-r1.apk | 2575 | 2025-Jun-19 08:44 |
| perl-moosex-nonmoose-0.27-r1.apk | 8385 | 2025-Jun-19 10:55 |
| perl-catalyst-component-instancepercontext-0.001..> | 2785 | 2025-Jun-19 10:55 |
| perl-catalyst-component-instancepercontext-doc-0..> | 3368 | 2025-Jun-19 10:55 |
| perl-moosex-nonmoose-doc-0.27-r1.apk | 9672 | 2025-Jun-19 10:55 |
| flatseal-doc-2.3.1-r0.apk | 8662 | 2025-Jun-19 15:30 |
| flatseal-2.3.1-r0.apk | 43842 | 2025-Jun-19 15:30 |
| flatseal-lang-2.3.1-r0.apk | 80827 | 2025-Jun-19 15:30 |
| nwipe-0.38-r0.apk | 274494 | 2025-Jun-19 17:34 |
| nwipe-doc-0.38-r0.apk | 3895 | 2025-Jun-19 17:34 |
| tayga-0.9.5-r0.apk | 27334 | 2025-Jun-20 07:58 |
| tayga-doc-0.9.5-r0.apk | 6199 | 2025-Jun-20 07:58 |
| perl-hash-merge-extra-0.06-r0.apk | 3210 | 2025-Jun-20 15:18 |
| perl-hash-merge-extra-doc-0.06-r0.apk | 3447 | 2025-Jun-20 15:18 |
| pihole-openrc-6.2.3-r0.apk | 1890 | 2025-Jun-20 18:05 |
| pihole-6.2.3-r0.apk | 5815376 | 2025-Jun-20 18:05 |
| pihole-bash-completion-6.2.3-r0.apk | 2237 | 2025-Jun-20 18:05 |
| pihole-doc-6.2.3-r0.apk | 3841 | 2025-Jun-20 18:05 |
| vlang-0.4.11-r0.apk | 31774168 | 2025-Jun-21 13:58 |
| py3-pdal-3.4.5-r1.apk | 167310 | 2025-Jun-21 21:42 |
| pdal-python-plugins-1.6.5-r0.apk | 234204 | 2025-Jun-21 21:42 |
| py3-pdal-pyc-3.4.5-r1.apk | 13405 | 2025-Jun-21 21:42 |
| lemmy-ui-openrc-0.19.12-r0.apk | 2186 | 2025-Jun-23 04:45 |
| lemmy-ui-0.19.12-r0.apk | 54104819 | 2025-Jun-23 04:45 |
| openrdap-0.9.1-r0.apk | 3286217 | 2025-Jun-24 15:50 |
| openrdap-doc-0.9.1-r0.apk | 2281 | 2025-Jun-24 15:50 |
| py3-simpleeval-pyc-1.0.3-r0.apk | 16866 | 2025-Jun-24 19:38 |
| py3-simpleeval-1.0.3-r0.apk | 15992 | 2025-Jun-24 19:38 |
| android-file-transfer-cli-4.5-r0.apk | 112431 | 2025-Jun-24 23:02 |
| android-file-transfer-libs-4.5-r0.apk | 131439 | 2025-Jun-24 23:02 |
| android-file-transfer-4.5-r0.apk | 198795 | 2025-Jun-24 23:02 |
| android-file-transfer-dev-4.5-r0.apk | 1606 | 2025-Jun-24 23:02 |
| macchina-6.4.0-r0.apk | 956967 | 2025-Jun-25 03:45 |
| macchina-doc-6.4.0-r0.apk | 5795 | 2025-Jun-25 03:45 |
| hare-irc-0.25.2.0-r0.apk | 10922 | 2025-Jun-25 07:24 |
| srain-1.8.1-r0.apk | 168718 | 2025-Jun-26 19:59 |
| srain-lang-1.8.1-r0.apk | 35951 | 2025-Jun-26 19:59 |
| py3-senf-1.5.0-r0.apk | 20669 | 2025-Jun-27 02:58 |
| py3-senf-pyc-1.5.0-r0.apk | 33276 | 2025-Jun-27 02:58 |
| texmaker-6.0.1-r0.apk | 12710539 | 2025-Jun-27 07:41 |
| mm-common-doc-1.0.7-r0.apk | 34287 | 2025-Jun-27 16:39 |
| mm-common-1.0.7-r0.apk | 424104 | 2025-Jun-27 16:39 |
| xcompmgr-doc-1.1.10-r0.apk | 2660 | 2025-Jun-28 11:24 |
| xcompmgr-1.1.10-r0.apk | 15071 | 2025-Jun-28 11:24 |
| apache2-mod-perl-doc-2.0.13-r2.apk | 311301 | 2025-Jun-30 07:25 |
| apache2-mod-perl-dbg-2.0.13-r2.apk | 72798 | 2025-Jun-30 07:25 |
| apache2-mod-perl-dev-2.0.13-r2.apk | 38899 | 2025-Jun-30 07:25 |
| apache2-mod-perl-2.0.13-r2.apk | 749229 | 2025-Jun-30 07:25 |
| perl-bsd-resource-1.2911-r11.apk | 19013 | 2025-Jun-30 07:25 |
| dnssec-tools-2.2.3-r13.apk | 783999 | 2025-Jun-30 07:25 |
| dnssec-tools-dev-2.2.3-r13.apk | 194190 | 2025-Jun-30 07:25 |
| dnssec-tools-doc-2.2.3-r13.apk | 324628 | 2025-Jun-30 07:25 |
| flowd-0.9.1-r11.apk | 79845 | 2025-Jun-30 07:25 |
| flowd-dev-0.9.1-r11.apk | 8274 | 2025-Jun-30 07:25 |
| flowd-doc-0.9.1-r11.apk | 10328 | 2025-Jun-30 07:25 |
| flowd-openrc-0.9.1-r11.apk | 1938 | 2025-Jun-30 07:25 |
| hw-probe-1.6.6-r2.apk | 126788 | 2025-Jun-30 07:25 |
| openwsman-2.8.1-r1.apk | 49483 | 2025-Jun-30 07:25 |
| openwsman-dev-2.8.1-r1.apk | 57043 | 2025-Jun-30 07:25 |
| openwsman-doc-2.8.1-r1.apk | 2454 | 2025-Jun-30 07:25 |
| openwsman-libs-2.8.1-r1.apk | 325455 | 2025-Jun-30 07:25 |
| perl-algorithm-permute-0.17-r1.apk | 12396 | 2025-Jun-30 07:25 |
| perl-algorithm-permute-doc-0.17-r1.apk | 5238 | 2025-Jun-30 07:25 |
| perl-alien-libgumbo-0.05-r1.apk | 573115 | 2025-Jun-30 07:25 |
| perl-alien-libgumbo-doc-0.05-r1.apk | 4941 | 2025-Jun-30 07:25 |
| perl-autobox-3.0.2-r1.apk | 19297 | 2025-Jun-30 07:25 |
| perl-autobox-doc-3.0.2-r1.apk | 9118 | 2025-Jun-30 07:25 |
| perl-b-hooks-op-check-0.22-r1.apk | 6906 | 2025-Jun-30 07:25 |
| perl-b-hooks-op-check-doc-0.22-r1.apk | 3857 | 2025-Jun-30 07:25 |
| perl-b-utils-0.27-r1.apk | 19690 | 2025-Jun-30 07:25 |
| perl-b-utils-doc-0.27-r1.apk | 9739 | 2025-Jun-30 07:25 |
| perl-barcode-zbar-0.10-r4.apk | 28494 | 2025-Jun-30 07:25 |
| perl-barcode-zbar-doc-0.10-r4.apk | 12983 | 2025-Jun-30 07:25 |
| perl-bareword-filehandles-0.007-r1.apk | 6227 | 2025-Jun-30 07:25 |
| perl-bareword-filehandles-doc-0.007-r1.apk | 3319 | 2025-Jun-30 07:25 |
| perl-bsd-resource-doc-1.2911-r11.apk | 8122 | 2025-Jun-30 07:25 |
| perl-check-unitcheck-0.13-r2.apk | 6338 | 2025-Jun-30 07:25 |
| perl-check-unitcheck-doc-0.13-r2.apk | 3698 | 2025-Jun-30 07:25 |
| perl-conf-libconfig-1.0.3-r2.apk | 23167 | 2025-Jun-30 07:25 |
| perl-conf-libconfig-doc-1.0.3-r2.apk | 5635 | 2025-Jun-30 07:25 |
| perl-crypt-blowfish-2.14-r1.apk | 13128 | 2025-Jun-30 07:25 |
| perl-crypt-blowfish-doc-2.14-r1.apk | 4169 | 2025-Jun-30 07:25 |
| perl-data-checks-0.10-r1.apk | 22968 | 2025-Jun-30 07:25 |
| perl-data-checks-doc-0.10-r1.apk | 8462 | 2025-Jun-30 07:25 |
| perl-data-clone-0.006-r1.apk | 10145 | 2025-Jun-30 07:25 |
| perl-data-clone-doc-0.006-r1.apk | 4645 | 2025-Jun-30 07:25 |
| perl-data-dump-streamer-2.42-r1.apk | 51228 | 2025-Jun-30 07:25 |
| perl-data-dump-streamer-doc-2.42-r1.apk | 17675 | 2025-Jun-30 07:25 |
| perl-devel-leak-0.03-r14.apk | 7291 | 2025-Jun-30 07:25 |
| perl-devel-leak-doc-0.03-r14.apk | 3430 | 2025-Jun-30 07:25 |
| perl-devel-nytprof-6.14-r1.apk | 400322 | 2025-Jun-30 07:25 |
| perl-devel-nytprof-doc-6.14-r1.apk | 51745 | 2025-Jun-30 07:25 |
| perl-devel-refcount-0.10-r2.apk | 6458 | 2025-Jun-30 07:25 |
| perl-devel-refcount-doc-0.10-r2.apk | 4406 | 2025-Jun-30 07:25 |
| perl-digest-crc-0.24-r2.apk | 9785 | 2025-Jun-30 07:25 |
| perl-digest-crc-doc-0.24-r2.apk | 3365 | 2025-Jun-30 07:25 |
| perl-dns-unbound-0.29-r2.apk | 23667 | 2025-Jun-30 07:25 |
| perl-dns-unbound-anyevent-0.29-r2.apk | 2397 | 2025-Jun-30 07:25 |
| perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1990 | 2025-Jun-30 07:25 |
| perl-dns-unbound-doc-0.29-r2.apk | 15769 | 2025-Jun-30 07:25 |
| perl-dns-unbound-ioasync-0.29-r2.apk | 2476 | 2025-Jun-30 07:25 |
| perl-dns-unbound-mojo-0.29-r2.apk | 2715 | 2025-Jun-30 07:25 |
| perl-encode-detect-1.01-r1.apk | 73787 | 2025-Jun-30 07:25 |
| perl-encode-detect-doc-1.01-r1.apk | 4959 | 2025-Jun-30 07:25 |
| perl-ev-hiredis-0.07-r3.apk | 12790 | 2025-Jun-30 07:25 |
| perl-ev-hiredis-doc-0.07-r3.apk | 4339 | 2025-Jun-30 07:25 |
| perl-ffi-platypus-2.10-r1.apk | 179628 | 2025-Jun-30 07:25 |
| perl-ffi-platypus-doc-2.10-r1.apk | 151519 | 2025-Jun-30 07:25 |
| perl-file-mmagic-xs-0.09008-r5.apk | 30072 | 2025-Jun-30 07:25 |
| perl-file-mmagic-xs-doc-0.09008-r5.apk | 4360 | 2025-Jun-30 07:25 |
| perl-flowd-0.9.1-r11.apk | 21608 | 2025-Jun-30 07:25 |
| perl-flowd-doc-0.9.1-r11.apk | 3330 | 2025-Jun-30 07:25 |
| perl-future-asyncawait-hooks-0.02-r1.apk | 8427 | 2025-Jun-30 07:25 |
| perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3422 | 2025-Jun-30 07:25 |
| perl-git-raw-0.90-r4.apk | 165095 | 2025-Jun-30 07:25 |
| perl-git-raw-doc-0.90-r4.apk | 122139 | 2025-Jun-30 07:25 |
| perl-gtk2-1.24993-r7.apk | 834039 | 2025-Jun-30 07:25 |
| perl-gtk2-doc-1.24993-r7.apk | 694861 | 2025-Jun-30 07:25 |
| perl-guard-1.023-r10.apk | 8921 | 2025-Jun-30 07:25 |
| perl-guard-doc-1.023-r10.apk | 5469 | 2025-Jun-30 07:25 |
| perl-html-gumbo-0.18-r2.apk | 14649 | 2025-Jun-30 07:25 |
| perl-html-gumbo-doc-0.18-r2.apk | 5555 | 2025-Jun-30 07:25 |
| perl-http-xsheaders-0.400005-r2.apk | 20687 | 2025-Jun-30 07:25 |
| perl-http-xsheaders-doc-0.400005-r2.apk | 6517 | 2025-Jun-30 07:25 |
| perl-imager-1.028-r1.apk | 533918 | 2025-Jun-30 07:25 |
| perl-imager-doc-1.028-r1.apk | 293302 | 2025-Jun-30 07:25 |
| perl-indirect-0.39-r2.apk | 16158 | 2025-Jun-30 07:25 |
| perl-indirect-doc-0.39-r2.apk | 6561 | 2025-Jun-30 07:25 |
| perl-libapreq2-2.17-r3.apk | 111999 | 2025-Jun-30 07:25 |
| perl-libapreq2-dev-2.17-r3.apk | 58529 | 2025-Jun-30 07:25 |
| perl-libapreq2-doc-2.17-r3.apk | 38168 | 2025-Jun-30 07:25 |
| perl-linux-pid-0.04-r15.apk | 5026 | 2025-Jun-30 07:25 |
| perl-linux-pid-doc-0.04-r15.apk | 3143 | 2025-Jun-30 07:25 |
| perl-list-binarysearch-xs-0.09-r2.apk | 12590 | 2025-Jun-30 07:25 |
| perl-list-binarysearch-xs-doc-0.09-r2.apk | 8331 | 2025-Jun-30 07:25 |
| perl-list-keywords-0.11-r1.apk | 15128 | 2025-Jun-30 07:25 |
| perl-list-keywords-doc-0.11-r1.apk | 5709 | 2025-Jun-30 07:25 |
| perl-math-int64-0.57-r2.apk | 27545 | 2025-Jun-30 07:25 |
| perl-math-int64-doc-0.57-r2.apk | 10787 | 2025-Jun-30 07:25 |
| perl-math-libm-1.00-r15.apk | 10182 | 2025-Jun-30 07:25 |
| perl-math-libm-doc-1.00-r15.apk | 3282 | 2025-Jun-30 07:25 |
| perl-math-random-isaac-xs-1.004-r9.apk | 7832 | 2025-Jun-30 07:25 |
| perl-math-random-isaac-xs-doc-1.004-r9.apk | 3926 | 2025-Jun-30 07:25 |
| perl-multidimensional-0.014-r1.apk | 5171 | 2025-Jun-30 07:25 |
| perl-multidimensional-doc-0.014-r1.apk | 3230 | 2025-Jun-30 07:25 |
| perl-musicbrainz-discid-0.06-r2.apk | 9147 | 2025-Jun-30 07:25 |
| perl-musicbrainz-discid-doc-0.06-r2.apk | 4466 | 2025-Jun-30 07:25 |
| perl-net-async-redis-xs-1.001-r2.apk | 9315 | 2025-Jun-30 07:25 |
| perl-net-async-redis-xs-doc-1.001-r2.apk | 5522 | 2025-Jun-30 07:25 |
| perl-net-curl-0.57-r1.apk | 62965 | 2025-Jun-30 07:25 |
| perl-net-curl-doc-0.57-r1.apk | 40342 | 2025-Jun-30 07:25 |
| perl-net-idn-encode-2.500-r2.apk | 85586 | 2025-Jun-30 07:25 |
| perl-net-idn-encode-doc-2.500-r2.apk | 22353 | 2025-Jun-30 07:25 |
| perl-object-pad-fieldattr-checked-0.12-r1.apk | 8791 | 2025-Jun-30 07:25 |
| perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4639 | 2025-Jun-30 07:25 |
| perl-pango-1.227-r12.apk | 77470 | 2025-Jun-30 07:25 |
| perl-pango-doc-1.227-r12.apk | 84348 | 2025-Jun-30 07:25 |
| perl-perlio-locale-0.10-r13.apk | 4825 | 2025-Jun-30 07:25 |
| perl-perlio-locale-doc-0.10-r13.apk | 3186 | 2025-Jun-30 07:25 |
| perl-ppi-xs-0.910-r2.apk | 6056 | 2025-Jun-30 07:25 |
| perl-ppi-xs-doc-0.910-r2.apk | 3513 | 2025-Jun-30 07:25 |
| perl-promise-xs-0.20-r2.apk | 24134 | 2025-Jun-30 07:25 |
| perl-promise-xs-doc-0.20-r2.apk | 8966 | 2025-Jun-30 07:25 |
| perl-ref-util-xs-0.117-r9.apk | 9988 | 2025-Jun-30 07:25 |
| perl-ref-util-xs-doc-0.117-r9.apk | 3554 | 2025-Jun-30 07:25 |
| perl-scalar-readonly-0.03-r2.apk | 5617 | 2025-Jun-30 07:25 |
| perl-scalar-readonly-doc-0.03-r2.apk | 3513 | 2025-Jun-30 07:25 |
| perl-signature-attribute-checked-0.06-r1.apk | 8228 | 2025-Jun-30 07:25 |
| perl-signature-attribute-checked-doc-0.06-r1.apk | 4746 | 2025-Jun-30 07:25 |
| perl-snmp-5.0404-r14.apk | 67736 | 2025-Jun-30 07:25 |
| perl-snmp-doc-5.0404-r14.apk | 14436 | 2025-Jun-30 07:25 |
| perl-string-compare-constanttime-0.321-r7.apk | 7704 | 2025-Jun-30 07:25 |
| perl-string-compare-constanttime-doc-0.321-r7.apk | 5417 | 2025-Jun-30 07:25 |
| perl-string-crc32-2.100-r5.apk | 7329 | 2025-Jun-30 07:25 |
| perl-string-crc32-doc-2.100-r5.apk | 3518 | 2025-Jun-30 07:25 |
| perl-syntax-keyword-match-0.15-r1.apk | 14174 | 2025-Jun-30 07:25 |
| perl-syntax-keyword-match-doc-0.15-r1.apk | 8027 | 2025-Jun-30 07:25 |
| perl-syntax-operator-equ-0.10-r1.apk | 8462 | 2025-Jun-30 07:25 |
| perl-syntax-operator-equ-doc-0.10-r1.apk | 6725 | 2025-Jun-30 07:25 |
| perl-syntax-operator-in-0.10-r1.apk | 9897 | 2025-Jun-30 07:25 |
| perl-syntax-operator-in-doc-0.10-r1.apk | 6048 | 2025-Jun-30 07:25 |
| perl-term-size-0.211-r5.apk | 6031 | 2025-Jun-30 07:25 |
| perl-term-size-doc-0.211-r5.apk | 3892 | 2025-Jun-30 07:25 |
| perl-time-moment-0.44-r1.apk | 39966 | 2025-Jun-30 07:25 |
| perl-time-moment-doc-0.44-r1.apk | 31650 | 2025-Jun-30 07:25 |
| perl-time-timegm-0.01-r10.apk | 7014 | 2025-Jun-30 07:25 |
| perl-time-timegm-doc-0.01-r10.apk | 3962 | 2025-Jun-30 07:25 |
| perl-xml-bare-0.53-r14.apk | 28257 | 2025-Jun-30 07:25 |
| perl-xml-bare-doc-0.53-r14.apk | 11740 | 2025-Jun-30 07:25 |
| pptpclient-1.10.0-r6.apk | 33297 | 2025-Jun-30 07:25 |
| pptpclient-doc-1.10.0-r6.apk | 7383 | 2025-Jun-30 07:25 |
| pure-data-0.55.2-r0.apk | 1777728 | 2025-Jun-30 07:25 |
| pure-data-dev-0.55.2-r0.apk | 53853 | 2025-Jun-30 07:25 |
| pure-data-doc-0.55.2-r0.apk | 5643326 | 2025-Jun-30 07:25 |
| pure-data-libs-0.55.2-r0.apk | 665044 | 2025-Jun-30 07:25 |
| py3-tokenizers-0.21.2-r0.apk | 1619723 | 2025-Jun-30 07:25 |
| py3-tokenizers-pyc-0.21.2-r0.apk | 29559 | 2025-Jun-30 07:25 |
| silc-client-1.1.11-r18.apk | 879182 | 2025-Jun-30 07:25 |
| silc-client-doc-1.1.11-r18.apk | 84088 | 2025-Jun-30 07:25 |
| spvm-errno-0.093-r1.apk | 17874 | 2025-Jun-30 07:25 |
| spvm-errno-doc-0.093-r1.apk | 6149 | 2025-Jun-30 07:25 |
| spvm-math-1.006-r1.apk | 21394 | 2025-Jun-30 07:25 |
| spvm-math-doc-1.006-r1.apk | 7102 | 2025-Jun-30 07:25 |
| spvm-mime-base64-1.003-r1.apk | 17482 | 2025-Jun-30 07:25 |
| spvm-mime-base64-doc-1.003-r1.apk | 5611 | 2025-Jun-30 07:25 |
| spvm-thread-0.003-r1.apk | 15405 | 2025-Jun-30 07:25 |
| spvm-thread-doc-0.003-r1.apk | 6111 | 2025-Jun-30 07:25 |
| video-trimmer-25.03-r0.apk | 366259 | 2025-Jun-30 13:44 |
| video-trimmer-lang-25.03-r0.apk | 94810 | 2025-Jun-30 13:44 |
| kdiskmark-3.2.0-r0.apk | 171543 | 2025-Jun-30 16:46 |
| kdiskmark-lang-3.2.0-r0.apk | 32590 | 2025-Jun-30 16:46 |
| rizin-0.8.1-r0.apk | 2803959 | 2025-Jun-30 16:49 |
| rizin-cutter-2.4.1-r0.apk | 2597295 | 2025-Jun-30 16:49 |
| rizin-cutter-dev-2.4.1-r0.apk | 105677 | 2025-Jun-30 16:49 |
| rizin-dev-0.8.1-r0.apk | 330312 | 2025-Jun-30 16:49 |
| rizin-doc-0.8.1-r0.apk | 19718 | 2025-Jun-30 16:49 |
| rizin-libs-0.8.1-r0.apk | 5245861 | 2025-Jun-30 16:49 |
| sudo-ldap-1.9.17_p1-r0.apk | 777534 | 2025-Jul-01 18:57 |
| notification-daemon-3.20.0-r1.apk | 60974 | 2025-Jul-02 01:23 |
| dmenu-wl-0.1-r0.apk | 18569 | 2025-Jul-02 10:32 |
| dmenu-wl-doc-0.1-r0.apk | 4178 | 2025-Jul-02 10:32 |
| php81-8.1.33-r0.apk | 1786061 | 2025-Jul-03 00:06 |
| php81-apache2-8.1.33-r0.apk | 1758704 | 2025-Jul-03 00:06 |
| php81-bcmath-8.1.33-r0.apk | 16402 | 2025-Jul-03 00:06 |
| php81-bz2-8.1.33-r0.apk | 10505 | 2025-Jul-03 00:06 |
| php81-calendar-8.1.33-r0.apk | 13453 | 2025-Jul-03 00:06 |
| php81-cgi-8.1.33-r0.apk | 1762996 | 2025-Jul-03 00:06 |
| php81-common-8.1.33-r0.apk | 25756 | 2025-Jul-03 00:06 |
| php81-ctype-8.1.33-r0.apk | 5353 | 2025-Jul-03 00:06 |
| php81-curl-8.1.33-r0.apk | 36790 | 2025-Jul-03 00:06 |
| php81-dba-8.1.33-r0.apk | 22135 | 2025-Jul-03 00:06 |
| php81-dev-8.1.33-r0.apk | 961580 | 2025-Jul-03 00:06 |
| php81-doc-8.1.33-r0.apk | 69767 | 2025-Jul-03 00:06 |
| php81-dom-8.1.33-r0.apk | 60102 | 2025-Jul-03 00:06 |
| php81-embed-8.1.33-r0.apk | 1751536 | 2025-Jul-03 00:06 |
| php81-enchant-8.1.33-r0.apk | 8961 | 2025-Jul-03 00:06 |
| php81-exif-8.1.33-r0.apk | 29341 | 2025-Jul-03 00:06 |
| php81-ffi-8.1.33-r0.apk | 74230 | 2025-Jul-03 00:06 |
| php81-fileinfo-8.1.33-r0.apk | 385692 | 2025-Jul-03 00:06 |
| php81-fpm-8.1.33-r0.apk | 1831767 | 2025-Jul-03 00:06 |
| php81-ftp-8.1.33-r0.apk | 22309 | 2025-Jul-03 00:06 |
| php81-gd-8.1.33-r0.apk | 126407 | 2025-Jul-03 00:06 |
| php81-gettext-8.1.33-r0.apk | 6191 | 2025-Jul-03 00:06 |
| php81-gmp-8.1.33-r0.apk | 20582 | 2025-Jul-03 00:06 |
| php81-iconv-8.1.33-r0.apk | 17331 | 2025-Jul-03 00:06 |
| php81-imap-8.1.33-r0.apk | 32593 | 2025-Jul-03 00:06 |
| php81-intl-8.1.33-r0.apk | 137130 | 2025-Jul-03 00:06 |
| php81-ldap-8.1.33-r0.apk | 30727 | 2025-Jul-03 00:06 |
| php81-litespeed-8.1.33-r0.apk | 1774799 | 2025-Jul-03 00:06 |
| php81-mbstring-8.1.33-r0.apk | 578677 | 2025-Jul-03 00:06 |
| php81-mysqli-8.1.33-r0.apk | 39582 | 2025-Jul-03 00:06 |
| php81-mysqlnd-8.1.33-r0.apk | 76542 | 2025-Jul-03 00:06 |
| php81-odbc-8.1.33-r0.apk | 22732 | 2025-Jul-03 00:06 |
| php81-opcache-8.1.33-r0.apk | 521035 | 2025-Jul-03 00:06 |
| php81-openssl-8.1.33-r0.apk | 68842 | 2025-Jul-03 00:06 |
| php81-pcntl-8.1.33-r0.apk | 13756 | 2025-Jul-03 00:06 |
| php81-pdo-8.1.33-r0.apk | 41245 | 2025-Jul-03 00:06 |
| php81-pdo_dblib-8.1.33-r0.apk | 12994 | 2025-Jul-03 00:06 |
| php81-pdo_mysql-8.1.33-r0.apk | 13481 | 2025-Jul-03 00:06 |
| php81-pdo_odbc-8.1.33-r0.apk | 13025 | 2025-Jul-03 00:06 |
| php81-pdo_pgsql-8.1.33-r0.apk | 19146 | 2025-Jul-03 00:06 |
| php81-pdo_sqlite-8.1.33-r0.apk | 13286 | 2025-Jul-03 00:06 |
| php81-pear-8.1.33-r0.apk | 345967 | 2025-Jul-03 00:06 |
| php81-pgsql-8.1.33-r0.apk | 44439 | 2025-Jul-03 00:06 |
| php81-phar-8.1.33-r0.apk | 119158 | 2025-Jul-03 00:06 |
| php81-phpdbg-8.1.33-r0.apk | 1831258 | 2025-Jul-03 00:06 |
| php81-posix-8.1.33-r0.apk | 11527 | 2025-Jul-03 00:06 |
| php81-pspell-8.1.33-r0.apk | 8414 | 2025-Jul-03 00:06 |
| php81-session-8.1.33-r0.apk | 35613 | 2025-Jul-03 00:06 |
| php81-shmop-8.1.33-r0.apk | 6547 | 2025-Jul-03 00:06 |
| php81-simplexml-8.1.33-r0.apk | 22520 | 2025-Jul-03 00:06 |
| php81-snmp-8.1.33-r0.apk | 20799 | 2025-Jul-03 00:06 |
| php81-soap-8.1.33-r0.apk | 128939 | 2025-Jul-03 00:06 |
| php81-sockets-8.1.33-r0.apk | 36372 | 2025-Jul-03 00:06 |
| php81-sodium-8.1.33-r0.apk | 25158 | 2025-Jul-03 00:06 |
| php81-sqlite3-8.1.33-r0.apk | 21348 | 2025-Jul-03 00:06 |
| php81-sysvmsg-8.1.33-r0.apk | 7997 | 2025-Jul-03 00:06 |
| php81-sysvsem-8.1.33-r0.apk | 6166 | 2025-Jul-03 00:06 |
| php81-sysvshm-8.1.33-r0.apk | 7124 | 2025-Jul-03 00:06 |
| php81-tidy-8.1.33-r0.apk | 18963 | 2025-Jul-03 00:06 |
| php81-tokenizer-8.1.33-r0.apk | 12148 | 2025-Jul-03 00:06 |
| php81-xml-8.1.33-r0.apk | 19337 | 2025-Jul-03 00:06 |
| php81-xmlreader-8.1.33-r0.apk | 13639 | 2025-Jul-03 00:06 |
| php81-xmlwriter-8.1.33-r0.apk | 11523 | 2025-Jul-03 00:06 |
| php81-xsl-8.1.33-r0.apk | 13594 | 2025-Jul-03 00:06 |
| php81-zip-8.1.33-r0.apk | 25165 | 2025-Jul-03 00:06 |
| singular-4.4.1_p2-r0.apk | 11280084 | 2025-Jul-03 00:06 |
| singular-dev-4.4.1_p2-r0.apk | 367707 | 2025-Jul-03 00:06 |
| singular-doc-4.4.1_p2-r0.apk | 1415565 | 2025-Jul-03 00:06 |
| singular-emacs-4.4.1_p2-r0.apk | 104009 | 2025-Jul-03 00:06 |
| singular-static-4.4.1_p2-r0.apk | 6579012 | 2025-Jul-03 00:06 |
| apostrophe-revealjs-5.2.1-r0.apk | 2552903 | 2025-Jul-03 10:58 |
| cups-pdf-3.0.2-r0.apk | 22256 | 2025-Jul-04 21:11 |
| deviced-0_git20250427-r0.apk | 126132 | 2025-Jul-05 20:03 |
| deviced-dev-0_git20250427-r0.apk | 26713 | 2025-Jul-05 20:03 |
| deviced-openrc-0_git20250427-r0.apk | 1744 | 2025-Jul-05 20:03 |
| curtail-1.13.0-r0.apk | 31088 | 2025-Jul-05 20:39 |
| curtail-lang-1.13.0-r0.apk | 79382 | 2025-Jul-05 20:39 |
| pinentry-bemenu-0.14.0-r1.apk | 8976 | 2025-Jul-06 00:16 |
| darts-clone-0.32h-r0.apk | 42095 | 2025-Jul-06 07:09 |
| darts-clone-dev-0.32h-r0.apk | 13505 | 2025-Jul-06 07:09 |
| py3-chameleon-4.6.0-r0.apk | 99477 | 2025-Jul-06 09:16 |
| py3-chameleon-pyc-4.6.0-r0.apk | 133765 | 2025-Jul-06 09:16 |
| ruby-ansi-1.5.0-r0.apk | 26499 | 2025-Jul-06 18:24 |
| ruby-minitest-autotest-1.1.1-r0.apk | 14560 | 2025-Jul-06 18:24 |
| ruby-minitest-focus-1.3.1-r0.apk | 5282 | 2025-Jul-06 18:24 |
| ruby-minitest-power_assert-0.3.1-r0.apk | 2279 | 2025-Jul-06 18:24 |
| ruby-minitest-proveit-1.0.0-r0.apk | 4880 | 2025-Jul-06 18:24 |
| ruby-minitest-reporters-1.7.1-r0.apk | 20569 | 2025-Jul-06 18:24 |
| ruby-path_expander-1.1.3-r0.apk | 6570 | 2025-Jul-06 18:24 |
| ruby-ruby-progressbar-1.13.0-r0.apk | 25516 | 2025-Jul-06 18:24 |
| varnish-modules-0.26.0-r0.apk | 55617 | 2025-Jul-06 18:24 |
| varnish-modules-doc-0.26.0-r0.apk | 22048 | 2025-Jul-06 18:24 |
| perl-devel-trace-0.12-r0.apk | 3440 | 2025-Jul-06 19:08 |
| perl-devel-trace-doc-0.12-r0.apk | 3556 | 2025-Jul-06 19:08 |
| py3-dateparser-1.2.2-r0.apk | 221410 | 2025-Jul-06 19:46 |
| py3-dateparser-pyc-1.2.2-r0.apk | 343239 | 2025-Jul-06 19:46 |
| waifu2x-converter-cpp-5.3.4-r9.apk | 12155661 | 2025-Jul-07 07:32 |
| py3-schema-0.7.7-r0.apk | 19891 | 2025-Jul-07 16:10 |
| py3-schema-pyc-0.7.7-r0.apk | 21013 | 2025-Jul-07 16:10 |
| perl-smart-comments-1.06-r0.apk | 12576 | 2025-Jul-07 17:02 |
| perl-smart-comments-doc-1.06-r0.apk | 8722 | 2025-Jul-07 17:02 |
| perl-data-section-0.200008-r0.apk | 6572 | 2025-Jul-07 17:02 |
| perl-data-section-doc-0.200008-r0.apk | 5755 | 2025-Jul-07 17:02 |
| catfish-4.20.1-r0.apk | 130856 | 2025-Jul-07 19:19 |
| catfish-doc-4.20.1-r0.apk | 13597 | 2025-Jul-07 19:19 |
| catfish-lang-4.20.1-r0.apk | 170666 | 2025-Jul-07 19:19 |
| catfish-pyc-4.20.1-r0.apk | 106604 | 2025-Jul-07 19:19 |
| py3-xsdata-25.7-r0.apk | 194226 | 2025-Jul-07 20:47 |
| py3-xsdata-pyc-25.7-r0.apk | 402121 | 2025-Jul-07 20:47 |
| libtsm-4.1.0-r0.apk | 27432 | 2025-Jul-08 06:30 |
| libtsm-dev-4.1.0-r0.apk | 11233 | 2025-Jul-08 06:30 |
| py3-svgpath-7.0-r0.apk | 19048 | 2025-Jul-08 06:32 |
| py3-svgpath-pyc-7.0-r0.apk | 24384 | 2025-Jul-08 06:32 |
| perl-software-license-0.104007-r0.apk | 109182 | 2025-Jul-08 16:53 |
| perl-software-license-doc-0.104007-r0.apk | 51649 | 2025-Jul-08 16:53 |
| perl-date-range-1.41-r0.apk | 3842 | 2025-Jul-08 16:53 |
| perl-date-range-doc-1.41-r0.apk | 4142 | 2025-Jul-08 16:53 |
| perl-xml-xpathengine-0.14-r0.apk | 22223 | 2025-Jul-08 16:54 |
| perl-xml-xpathengine-doc-0.14-r0.apk | 11293 | 2025-Jul-08 16:54 |
| honeybee-0.2.0-r1.apk | 1556996 | 2025-Jul-08 23:15 |
| honeybee-doc-0.2.0-r1.apk | 3487 | 2025-Jul-08 23:15 |
| font-terminus-ttf-4.49.3-r0.apk | 550772 | 2025-Jul-12 06:30 |
| libsimpleble-0.10.3-r0.apk | 200615 | 2025-Jul-12 06:30 |
| libsimpleble-c-0.10.3-r0.apk | 16266 | 2025-Jul-12 06:30 |
| libsimplebluez-0.10.3-r0.apk | 144861 | 2025-Jul-12 06:30 |
| materia-20210322-r3.apk | 1712 | 2025-Jul-12 06:30 |
| materia-chromium-20210322-r3.apk | 5818 | 2025-Jul-12 06:30 |
| materia-compact-20210322-r3.apk | 1730 | 2025-Jul-12 06:30 |
| materia-compact-chromium-20210322-r3.apk | 5835 | 2025-Jul-12 06:30 |
| materia-compact-gnome-shell-20210322-r3.apk | 30011 | 2025-Jul-12 06:30 |
| materia-compact-gtk2-20210322-r3.apk | 35422 | 2025-Jul-12 06:30 |
| materia-compact-gtk3-20210322-r3.apk | 64413 | 2025-Jul-12 06:30 |
| materia-compact-gtk4-20210322-r3.apk | 43595 | 2025-Jul-12 06:30 |
| materia-dark-20210322-r3.apk | 1726 | 2025-Jul-12 06:30 |
| materia-dark-chromium-20210322-r3.apk | 5841 | 2025-Jul-12 06:30 |
| materia-dark-compact-20210322-r3.apk | 1743 | 2025-Jul-12 06:30 |
| materia-dark-compact-chromium-20210322-r3.apk | 5859 | 2025-Jul-12 06:30 |
| materia-dark-compact-gnome-shell-20210322-r3.apk | 29999 | 2025-Jul-12 06:30 |
| materia-dark-compact-gtk2-20210322-r3.apk | 35343 | 2025-Jul-12 06:30 |
| materia-dark-compact-gtk3-20210322-r3.apk | 40549 | 2025-Jul-12 06:30 |
| materia-dark-compact-gtk4-20210322-r3.apk | 30110 | 2025-Jul-12 06:30 |
| materia-dark-gnome-shell-20210322-r3.apk | 29904 | 2025-Jul-12 06:30 |
| materia-dark-gtk2-20210322-r3.apk | 35317 | 2025-Jul-12 06:30 |
| materia-dark-gtk3-20210322-r3.apk | 40563 | 2025-Jul-12 06:30 |
| materia-dark-gtk4-20210322-r3.apk | 30114 | 2025-Jul-12 06:30 |
| materia-gnome-shell-20210322-r3.apk | 29904 | 2025-Jul-12 06:30 |
| materia-gtk-theme-20210322-r3.apk | 2927 | 2025-Jul-12 06:30 |
| materia-gtk2-20210322-r3.apk | 35395 | 2025-Jul-12 06:30 |
| materia-gtk3-20210322-r3.apk | 64540 | 2025-Jul-12 06:30 |
| materia-gtk4-20210322-r3.apk | 43698 | 2025-Jul-12 06:30 |
| mdp-1.0.18-r0.apk | 16891 | 2025-Jul-12 06:30 |
| mdp-doc-1.0.18-r0.apk | 3965 | 2025-Jul-12 06:30 |
| minigalaxy-1.4.0-r0.apk | 202004 | 2025-Jul-12 06:30 |
| minigalaxy-pyc-1.4.0-r0.apk | 137794 | 2025-Jul-12 06:30 |
| perl-archive-any-lite-0.11-r0.apk | 4222 | 2025-Jul-12 06:30 |
| perl-archive-any-lite-doc-0.11-r0.apk | 3782 | 2025-Jul-12 06:30 |
| perl-array-diff-0.09-r0.apk | 3412 | 2025-Jul-12 06:30 |
| perl-array-diff-doc-0.09-r0.apk | 3975 | 2025-Jul-12 06:30 |
| perl-data-binary-0.01-r0.apk | 2833 | 2025-Jul-12 06:30 |
| perl-data-binary-doc-0.01-r0.apk | 3159 | 2025-Jul-12 06:30 |
| perl-file-find-object-0.3.9-r0.apk | 9378 | 2025-Jul-12 06:30 |
| perl-file-find-object-doc-0.3.9-r0.apk | 13522 | 2025-Jul-12 06:30 |
| perl-file-treecreate-0.0.1-r0.apk | 4115 | 2025-Jul-12 06:30 |
| perl-file-treecreate-doc-0.0.1-r0.apk | 4505 | 2025-Jul-12 06:30 |
| perl-html-treebuilder-xpath-0.14-r0.apk | 7993 | 2025-Jul-12 06:30 |
| perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4313 | 2025-Jul-12 06:30 |
| perl-parse-distname-0.05-r0.apk | 5600 | 2025-Jul-12 06:30 |
| perl-parse-distname-doc-0.05-r0.apk | 4480 | 2025-Jul-12 06:30 |
| perl-test-perl-critic-1.04-r0.apk | 7001 | 2025-Jul-12 06:30 |
| perl-test-perl-critic-doc-1.04-r0.apk | 6564 | 2025-Jul-12 06:30 |
| perl-web-scraper-0.38-r0.apk | 7707 | 2025-Jul-12 06:30 |
| perl-web-scraper-doc-0.38-r0.apk | 8268 | 2025-Jul-12 06:30 |
| py3-imageio-2.37.0-r0.apk | 292328 | 2025-Jul-12 06:30 |
| py3-imageio-pyc-2.37.0-r0.apk | 515900 | 2025-Jul-12 06:30 |
| simpleble-0.10.3-r0.apk | 1259 | 2025-Jul-12 06:30 |
| simpleble-dev-0.10.3-r0.apk | 28598 | 2025-Jul-12 06:30 |
| steamguard-cli-0.17.1-r0.apk | 3151090 | 2025-Jul-12 06:31 |
| steamguard-cli-bash-completion-0.17.1-r0.apk | 2964 | 2025-Jul-12 06:31 |
| steamguard-cli-zsh-completion-0.17.1-r0.apk | 4328 | 2025-Jul-12 06:31 |
| zycore-1.5.0-r1.apk | 21179 | 2025-Jul-12 06:31 |
| zycore-dev-1.5.0-r1.apk | 38293 | 2025-Jul-12 06:31 |
| zycore-doc-1.5.0-r1.apk | 443027 | 2025-Jul-12 06:31 |
| perl-regexp-trie-0.02-r0.apk | 3049 | 2025-Jul-12 07:22 |
| perl-regexp-trie-doc-0.02-r0.apk | 3388 | 2025-Jul-12 07:22 |
| perl-finance-quote-1.66-r0.apk | 108352 | 2025-Jul-12 13:43 |
| perl-finance-quote-doc-1.66-r0.apk | 89734 | 2025-Jul-12 13:43 |
| perl-module-cpants-analyse-1.02-r0.apk | 27156 | 2025-Jul-12 13:43 |
| perl-module-cpants-analyse-doc-1.02-r0.apk | 28973 | 2025-Jul-12 13:43 |
| perl-prereqscanner-notquitelite-0.9917-r0.apk | 42011 | 2025-Jul-12 13:43 |
| perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 50261 | 2025-Jul-12 13:43 |
| perl-test-kwalitee-1.28-r0.apk | 6534 | 2025-Jul-12 13:43 |
| perl-test-kwalitee-doc-1.28-r0.apk | 7059 | 2025-Jul-12 13:43 |
| py3-pathvalidate-3.3.1-r0.apk | 19548 | 2025-Jul-12 15:21 |
| py3-pathvalidate-pyc-3.3.1-r0.apk | 34399 | 2025-Jul-12 15:21 |
| py3-flask-accept-0.0.7-r0.apk | 5711 | 2025-Jul-12 15:27 |
| py3-flask-accept-pyc-0.0.7-r0.apk | 3769 | 2025-Jul-12 15:27 |
| octoprint-filecheck-2024.11.12-r0.apk | 28666 | 2025-Jul-12 16:01 |
| octoprint-filecheck-pyc-2024.11.12-r0.apk | 11915 | 2025-Jul-12 16:01 |
| piper-phonemize-2023.11.14.4-r9.apk | 9432632 | 2025-Jul-12 16:01 |
| piper-phonemize-dev-2023.11.14.4-r9.apk | 403689 | 2025-Jul-12 16:01 |
| piper-phonemize-libs-2023.11.14.4-r9.apk | 70104 | 2025-Jul-12 16:01 |
| piper-tts-2023.11.14.2-r14.apk | 130215 | 2025-Jul-12 16:01 |
| piper-tts-dev-2023.11.14.2-r14.apk | 144407 | 2025-Jul-12 16:01 |
| py3-enzyme-0.5.2-r0.apk | 23269 | 2025-Jul-12 16:01 |
| py3-enzyme-pyc-0.5.2-r0.apk | 19254 | 2025-Jul-12 16:01 |
| py3-piper-phonemize-2023.11.14.4-r9.apk | 143944 | 2025-Jul-12 16:01 |
| py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3428 | 2025-Jul-12 16:01 |
| py3-piper-tts-2023.11.14.2-r14.apk | 41878 | 2025-Jul-12 16:01 |
| py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11611 | 2025-Jul-12 16:01 |
| py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 17610 | 2025-Jul-12 16:01 |
| py3-tidalapi-0.8.4-r0.apk | 51325 | 2025-Jul-12 16:01 |
| py3-tidalapi-pyc-0.8.4-r0.apk | 92891 | 2025-Jul-12 16:01 |
| py3-ly-0.9.9-r0.apk | 191078 | 2025-Jul-12 21:56 |
| py3-ly-doc-0.9.9-r0.apk | 8255 | 2025-Jul-12 21:56 |
| py3-ly-pyc-0.9.9-r0.apk | 360441 | 2025-Jul-12 21:56 |
| yaru-common-25.10.1-r0.apk | 4024849 | 2025-Jul-13 20:00 |
| yaru-icon-theme-25.10.1-r0.apk | 37043035 | 2025-Jul-13 20:00 |
| yaru-icon-theme-bark-25.10.1-r0.apk | 1187436 | 2025-Jul-13 20:00 |
| yaru-icon-theme-blue-25.10.1-r0.apk | 1228516 | 2025-Jul-13 20:00 |
| yaru-icon-theme-magenta-25.10.1-r0.apk | 1204143 | 2025-Jul-13 20:00 |
| yaru-icon-theme-mate-25.10.1-r0.apk | 1398495 | 2025-Jul-13 20:00 |
| yaru-icon-theme-olive-25.10.1-r0.apk | 1201618 | 2025-Jul-13 20:00 |
| yaru-icon-theme-prussiangreen-25.10.1-r0.apk | 1215068 | 2025-Jul-13 20:00 |
| yaru-icon-theme-purple-25.10.1-r0.apk | 1251482 | 2025-Jul-13 20:00 |
| yaru-icon-theme-red-25.10.1-r0.apk | 1257583 | 2025-Jul-13 20:00 |
| yaru-icon-theme-sage-25.10.1-r0.apk | 1236614 | 2025-Jul-13 20:00 |
| yaru-icon-theme-viridian-25.10.1-r0.apk | 1212704 | 2025-Jul-13 20:00 |
| yaru-schemas-25.10.1-r0.apk | 1893 | 2025-Jul-13 20:00 |
| yaru-shell-25.10.1-r0.apk | 232209 | 2025-Jul-13 20:00 |
| yaru-sounds-25.10.1-r0.apk | 1262897 | 2025-Jul-13 20:00 |
| yaru-theme-25.10.1-r0.apk | 860559 | 2025-Jul-13 20:00 |
| yaru-theme-bark-25.10.1-r0.apk | 781095 | 2025-Jul-13 20:00 |
| yaru-theme-blue-25.10.1-r0.apk | 784433 | 2025-Jul-13 20:00 |
| yaru-theme-hdpi-25.10.1-r0.apk | 75020 | 2025-Jul-13 20:00 |
| yaru-theme-magenta-25.10.1-r0.apk | 780139 | 2025-Jul-13 20:00 |
| yaru-theme-mate-25.10.1-r0.apk | 790479 | 2025-Jul-13 20:00 |
| yaru-theme-olive-25.10.1-r0.apk | 777442 | 2025-Jul-13 20:00 |
| yaru-theme-prussiangreen-25.10.1-r0.apk | 777943 | 2025-Jul-13 20:00 |
| yaru-theme-purple-25.10.1-r0.apk | 775087 | 2025-Jul-13 20:00 |
| yaru-theme-red-25.10.1-r0.apk | 778145 | 2025-Jul-13 20:00 |
| yaru-theme-sage-25.10.1-r0.apk | 781511 | 2025-Jul-13 20:00 |
| yaru-theme-viridian-25.10.1-r0.apk | 778499 | 2025-Jul-13 20:00 |
| py3-evohome-client-0.3.9-r0.apk | 19280 | 2025-Jul-13 21:33 |
| py3-evohome-client-pyc-0.3.9-r0.apk | 27962 | 2025-Jul-13 21:33 |
| py3-sphinxcontrib-spelling-8.0.1-r0.apk | 13504 | 2025-Jul-13 21:34 |
| py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 19388 | 2025-Jul-13 21:34 |
| py3-bookkeeper-4.17.2-r0.apk | 44041 | 2025-Jul-13 21:43 |
| py3-bookkeeper-pyc-4.17.2-r0.apk | 68289 | 2025-Jul-13 21:43 |
| py3-furl-2.1.4-r0.apk | 28190 | 2025-Jul-13 21:52 |
| py3-furl-pyc-2.1.4-r0.apk | 33262 | 2025-Jul-13 21:52 |
| py3-flask-migrate-4.1.0-r0.apk | 13630 | 2025-Jul-13 21:53 |
| py3-flask-migrate-pyc-4.1.0-r0.apk | 18852 | 2025-Jul-13 21:53 |
| perl-sql-abstract-more-1.44-r0.apk | 28228 | 2025-Jul-14 07:46 |
| perl-sql-abstract-more-doc-1.44-r0.apk | 17071 | 2025-Jul-14 07:46 |
| py3-apt-2.9.9-r0.apk | 176295 | 2025-Jul-14 07:46 |
| py3-apt-lang-2.9.9-r0.apk | 81414 | 2025-Jul-14 07:46 |
| py3-apt-pyc-2.9.9-r0.apk | 121873 | 2025-Jul-14 07:46 |
| py3-tls_parser-2.0.2-r0.apk | 10549 | 2025-Jul-14 07:46 |
| py3-tls_parser-pyc-2.0.2-r0.apk | 17569 | 2025-Jul-14 07:46 |
| wsmancli-2.8.0-r0.apk | 20311 | 2025-Jul-14 13:34 |
| wsmancli-doc-2.8.0-r0.apk | 3754 | 2025-Jul-14 13:34 |
| splitter-0.4.1-r1.apk | 598203 | 2025-Jul-15 04:09 |
| splitter-doc-0.4.1-r1.apk | 3915 | 2025-Jul-15 04:09 |
| isomd5sum-1.2.5-r0.apk | 31533 | 2025-Jul-15 08:02 |
| isomd5sum-doc-1.2.5-r0.apk | 3047 | 2025-Jul-15 08:02 |
| py3-drf-yasg-1.21.10-r0.apk | 4246936 | 2025-Jul-15 08:28 |
| py3-drf-yasg-pyc-1.21.10-r0.apk | 100581 | 2025-Jul-15 08:28 |
| py3-ovos-phal-plugin-system-1.3.3-r0.apk | 11211 | 2025-Jul-15 16:49 |
| py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 10300 | 2025-Jul-15 16:49 |
| vixl-8.0.0-r0.apk | 827558 | 2025-Jul-15 17:26 |
| vixl-dev-8.0.0-r0.apk | 354934 | 2025-Jul-15 17:26 |
| py3-ovos-config-2.1.1-r0.apk | 48352 | 2025-Jul-15 18:37 |
| py3-ovos-config-pyc-2.1.1-r0.apk | 35631 | 2025-Jul-15 18:37 |
| ovos-phal-0.2.10-r0.apk | 10727 | 2025-Jul-15 19:30 |
| ovos-phal-pyc-0.2.10-r0.apk | 7409 | 2025-Jul-15 19:30 |
| py3-ovos-workshop-7.0.6-r0.apk | 94394 | 2025-Jul-15 19:30 |
| py3-ovos-workshop-pyc-7.0.6-r0.apk | 169443 | 2025-Jul-15 19:30 |
| py3-ovos-ww-plugin-vosk-0.1.7-r0.apk | 11766 | 2025-Jul-15 19:30 |
| py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk | 12826 | 2025-Jul-15 19:30 |
| py3-ovos-plugin-manager-1.0.3-r0.apk | 88534 | 2025-Jul-15 19:34 |
| py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 170840 | 2025-Jul-15 19:34 |
| ovos-audio-1.0.1-r0.apk | 139547 | 2025-Jul-15 20:03 |
| ovos-audio-pyc-1.0.1-r0.apk | 36690 | 2025-Jul-15 20:03 |
| ovos-dinkum-listener-0.4.1-r0.apk | 111466 | 2025-Jul-15 20:03 |
| ovos-dinkum-listener-pyc-0.4.1-r0.apk | 58134 | 2025-Jul-15 20:03 |
| ovos-gui-1.3.3-r0.apk | 38761 | 2025-Jul-15 20:03 |
| ovos-gui-pyc-1.3.3-r0.apk | 39355 | 2025-Jul-15 20:03 |
| py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 9084 | 2025-Jul-15 20:03 |
| py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4876 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 11358 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 9093 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 8365 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3985 | 2025-Jul-15 20:03 |
| py3-ovos-phal-plugin-connectivity-events-0.1.2-r..> | 9016 | 2025-Jul-15 20:03 |
| py3-ovos-phal-plugin-connectivity-events-pyc-0.1..> | 5194 | 2025-Jul-15 20:03 |
| py3-ovos-utils-0.8.1-r0.apk | 74314 | 2025-Jul-15 20:03 |
| py3-ovos-utils-pyc-0.8.1-r0.apk | 133429 | 2025-Jul-15 20:03 |
| pyinfra-3.3.1-r0.apk | 196589 | 2025-Jul-16 08:48 |
| pyinfra-pyc-3.3.1-r0.apk | 369695 | 2025-Jul-16 08:48 |
| tremc-0.9.4-r0.apk | 53845 | 2025-Jul-16 08:48 |
| tremc-bash-completion-0.9.4-r0.apk | 1946 | 2025-Jul-16 08:48 |
| tremc-doc-0.9.4-r0.apk | 2892 | 2025-Jul-16 08:48 |
| tremc-zsh-completion-0.9.4-r0.apk | 1861 | 2025-Jul-16 08:48 |
| dislocker-0.7.3-r6.apk | 16421 | 2025-Jul-23 04:04 |
| dislocker-doc-0.7.3-r6.apk | 6165 | 2025-Jul-23 04:04 |
| dislocker-libs-0.7.3-r6.apk | 45868 | 2025-Jul-23 04:04 |
| git-extras-7.4.0-r0.apk | 58447 | 2025-Jul-23 04:04 |
| git-extras-bash-completion-7.4.0-r0.apk | 2945 | 2025-Jul-23 04:04 |
| git-extras-doc-7.4.0-r0.apk | 66752 | 2025-Jul-23 04:04 |
| guestfs-tools-1.56.1-r0.apk | 288086 | 2025-Jul-23 04:04 |
| gummiboot-48.1-r11.apk | 41716 | 2025-Jul-23 04:04 |
| gummiboot-doc-48.1-r11.apk | 3000 | 2025-Jul-23 04:04 |
| gummiboot-efistub-48.1-r11.apk | 18772 | 2025-Jul-23 04:04 |
| i3status-rust-0.34.0-r0.apk | 4397245 | 2025-Jul-23 04:04 |
| i3status-rust-doc-0.34.0-r0.apk | 33924 | 2025-Jul-23 04:04 |
| jaq-2.3.0-r0.apk | 748560 | 2025-Jul-23 04:04 |
| jaq-doc-2.3.0-r0.apk | 2290 | 2025-Jul-23 04:04 |
| laze-0.1.38-r0.apk | 1047686 | 2025-Jul-23 04:04 |
| laze-bash-completion-0.1.38-r0.apk | 3220 | 2025-Jul-23 04:04 |
| laze-doc-0.1.38-r0.apk | 3683 | 2025-Jul-23 04:04 |
| laze-fish-completion-0.1.38-r0.apk | 3524 | 2025-Jul-23 04:04 |
| laze-zsh-completion-0.1.38-r0.apk | 3767 | 2025-Jul-23 04:04 |
| libgrapheme-2.0.2-r0.apk | 25764 | 2025-Jul-23 04:04 |
| libgrapheme-dev-2.0.2-r0.apk | 32576 | 2025-Jul-23 04:04 |
| libgrapheme-doc-2.0.2-r0.apk | 21149 | 2025-Jul-23 04:04 |
| libguestfs-1.56.1-r0.apk | 321851 | 2025-Jul-23 04:04 |
| libguestfs-dev-1.56.1-r0.apk | 30122 | 2025-Jul-23 04:04 |
| libguestfs-doc-1.56.1-r0.apk | 582199 | 2025-Jul-23 04:04 |
| libguestfs-static-1.56.1-r0.apk | 521776 | 2025-Jul-23 04:04 |
| libwasmtime-34.0.1-r0.apk | 3044548 | 2025-Jul-23 04:04 |
| libwasmtime-static-34.0.1-r0.apk | 5678576 | 2025-Jul-23 04:04 |
| lua5.1-libguestfs-1.56.1-r0.apk | 85277 | 2025-Jul-23 04:04 |
| nvidia-src-575.64.05-r0.apk | 18571308 | 2025-Jul-23 04:04 |
| otpclient-4.1.1-r0.apk | 117832 | 2025-Jul-23 04:04 |
| otpclient-doc-4.1.1-r0.apk | 3732 | 2025-Jul-23 04:04 |
| perl-astro-0.78-r0.apk | 32734 | 2025-Jul-23 04:04 |
| perl-astro-doc-0.78-r0.apk | 14156 | 2025-Jul-23 04:04 |
| perl-astro-montenbruck-1.26-r0.apk | 54713 | 2025-Jul-23 04:04 |
| perl-astro-montenbruck-doc-1.26-r0.apk | 61117 | 2025-Jul-23 04:04 |
| perl-astro-pal-1.09-r0.apk | 218570 | 2025-Jul-23 04:04 |
| perl-astro-pal-doc-1.09-r0.apk | 7539 | 2025-Jul-23 04:04 |
| perl-astro-telescope-0.71-r0.apk | 49493 | 2025-Jul-23 04:04 |
| perl-astro-telescope-doc-0.71-r0.apk | 5117 | 2025-Jul-23 04:04 |
| perl-datetime-astro-1.04-r0.apk | 35722 | 2025-Jul-23 04:04 |
| perl-datetime-astro-doc-1.04-r0.apk | 6162 | 2025-Jul-23 04:04 |
| perl-datetime-set-0.3900-r0.apk | 20397 | 2025-Jul-23 04:04 |
| perl-datetime-set-doc-0.3900-r0.apk | 18282 | 2025-Jul-23 04:04 |
| perl-nice-try-1.3.17-r0.apk | 28525 | 2025-Jul-23 04:04 |
| perl-nice-try-doc-1.3.17-r0.apk | 12801 | 2025-Jul-23 04:04 |
| perl-set-infinite-0.65-r0.apk | 23438 | 2025-Jul-23 04:04 |
| perl-set-infinite-doc-0.65-r0.apk | 11735 | 2025-Jul-23 04:04 |
| php82-pecl-vld-0.19.1-r0.apk | 15998 | 2025-Jul-23 04:04 |
| php83-pecl-eio-3.1.4-r0.apk | 28978 | 2025-Jul-23 04:04 |
| php83-pecl-vld-0.19.1-r0.apk | 15990 | 2025-Jul-23 04:04 |
| php84-pecl-vld-0.19.1-r0.apk | 16227 | 2025-Jul-23 04:04 |
| py3-ansible-pylibssh-1.2.2-r0.apk | 249002 | 2025-Jul-23 04:04 |
| py3-libguestfs-1.56.1-r0.apk | 184193 | 2025-Jul-23 04:04 |
| ruby-libguestfs-1.56.1-r0.apk | 103245 | 2025-Jul-23 04:04 |
| screenkey-1.5-r7.apk | 78192 | 2025-Jul-23 04:04 |
| screenkey-doc-1.5-r7.apk | 11235 | 2025-Jul-23 04:04 |
| screenkey-pyc-1.5-r7.apk | 74896 | 2025-Jul-23 04:04 |
| solarus-engine-1.7.0-r2.apk | 2061083 | 2025-Jul-23 04:04 |
| solarus-engine-doc-1.7.0-r2.apk | 3428 | 2025-Jul-23 04:04 |
| tree-sitter-hare-0_git20230616-r2.apk | 33647 | 2025-Jul-23 04:04 |
| wasmtime-34.0.1-r0.apk | 6759488 | 2025-Jul-23 04:04 |
| wasmtime-dev-34.0.1-r0.apk | 93016 | 2025-Jul-23 04:04 |
| py3-hypercorn-0.17.3-r0.apk | 48543 | 2025-Jul-23 06:34 |
| py3-hypercorn-pyc-0.17.3-r0.apk | 123157 | 2025-Jul-23 06:34 |
| py3-priority-2.0.0-r0.apk | 10102 | 2025-Jul-23 06:34 |
| py3-priority-pyc-2.0.0-r0.apk | 9551 | 2025-Jul-23 06:34 |
| py3-quart-0.20.0-r0.apk | 70048 | 2025-Jul-23 06:34 |
| py3-quart-pyc-0.20.0-r0.apk | 150545 | 2025-Jul-23 06:34 |
| tree-sitter-clojure-0.0.13-r0.apk | 23469 | 2025-Jul-23 11:59 |
| rpi-imager-1.9.0-r1.apk | 713039 | 2025-Jul-24 08:08 |
| rpi-imager-doc-1.9.0-r1.apk | 3184 | 2025-Jul-24 08:08 |
| perl-template-tiny-1.16-r0.apk | 5677 | 2025-Jul-24 12:42 |
| perl-template-tiny-doc-1.16-r0.apk | 5137 | 2025-Jul-24 12:42 |
| cargo-vendor-filterer-0.5.18-r0.apk | 606553 | 2025-Jul-24 17:29 |
| tree-sitter-git-commit-0_git20211225-r4.apk | 13285 | 2025-Jul-25 16:54 |
| tree-sitter-git-diff-0_git20230730-r1.apk | 10185 | 2025-Jul-25 16:54 |
| py3-dunamai-1.25.0-r0.apk | 27502 | 2025-Jul-25 22:23 |
| py3-dunamai-pyc-1.25.0-r0.apk | 44900 | 2025-Jul-25 22:23 |
| py3-poetry-dynamic-versioning-1.9.1-r0.apk | 21092 | 2025-Jul-26 00:11 |
| py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 27022 | 2025-Jul-26 00:11 |
| fatrace-0.18.0-r0.apk | 10744 | 2025-Jul-27 19:28 |
| fatrace-doc-0.18.0-r0.apk | 3393 | 2025-Jul-27 19:28 |
| ruby-facter-4.10.0-r0.apk | 223326 | 2025-Jul-28 02:04 |
| gnucobol-3.2-r0.apk | 811924 | 2025-Jul-28 14:02 |
| gnucobol-doc-3.2-r0.apk | 72503 | 2025-Jul-28 14:02 |
| gnucobol-lang-3.2-r0.apk | 323611 | 2025-Jul-28 14:02 |
| libcob4-3.2-r0.apk | 216468 | 2025-Jul-28 14:02 |
| dropwatch-1.5.5-r1.apk | 17600 | 2025-Jul-28 14:35 |
| dropwatch-doc-1.5.5-r1.apk | 3784 | 2025-Jul-28 14:35 |
| py3-discid-1.3.0-r0.apk | 12835 | 2025-Jul-28 21:41 |
| py3-discid-pyc-1.3.0-r0.apk | 13788 | 2025-Jul-28 21:41 |
| rot8-1.0.0-r0.apk | 734337 | 2025-Jul-28 22:06 |
| rot8-doc-1.0.0-r0.apk | 2279 | 2025-Jul-28 22:06 |
| emacs-derl-0_git20231004-r1.apk | 24005 | 2025-Jul-29 04:35 |
| cargo-shuttle-0.56.6-r0.apk | 4956236 | 2025-Jul-29 07:56 |
| cargo-shuttle-bash-completion-0.56.6-r0.apk | 5301 | 2025-Jul-29 07:56 |
| cargo-shuttle-doc-0.56.6-r0.apk | 9210 | 2025-Jul-29 07:56 |
| cargo-shuttle-fish-completion-0.56.6-r0.apk | 9266 | 2025-Jul-29 07:56 |
| cargo-shuttle-zsh-completion-0.56.6-r0.apk | 8128 | 2025-Jul-29 07:56 |
| lynis-3.1.4-r0.apk | 282292 | 2025-Jul-29 08:08 |
| lynis-bash-completion-3.1.4-r0.apk | 3037 | 2025-Jul-29 08:08 |
| lynis-doc-3.1.4-r0.apk | 51402 | 2025-Jul-29 08:08 |
| soundconverter-4.1.1-r0.apk | 168466 | 2025-Jul-29 13:12 |
| soundconverter-doc-4.1.1-r0.apk | 4831 | 2025-Jul-29 13:12 |
| soundconverter-lang-4.1.1-r0.apk | 109468 | 2025-Jul-29 13:12 |
| soundconverter-pyc-4.1.1-r0.apk | 78477 | 2025-Jul-29 13:12 |
| pneink-theme-1.3-r0.apk | 10078 | 2025-Jul-30 00:32 |
| pneink-theme-doc-1.3-r0.apk | 1691 | 2025-Jul-30 00:32 |
| py3-pygelbooru-1.0.0-r0.apk | 20932 | 2025-Jul-30 04:28 |
| py3-pygelbooru-pyc-1.0.0-r0.apk | 11777 | 2025-Jul-30 04:28 |
| kbs2-0.7.3-r0.apk | 1174251 | 2025-Jul-30 07:28 |
| kbs2-bash-completion-0.7.3-r0.apk | 3312 | 2025-Jul-30 07:28 |
| kbs2-fish-completion-0.7.3-r0.apk | 3526 | 2025-Jul-30 07:28 |
| kbs2-zsh-completion-0.7.3-r0.apk | 4140 | 2025-Jul-30 07:28 |
| tabiew-0.11.0-r0.apk | 12399419 | 2025-Jul-30 07:51 |
| megatools-1.11.5.20250706-r0.apk | 64627 | 2025-Jul-30 14:58 |
| megatools-bash-completion-1.11.5.20250706-r0.apk | 4194 | 2025-Jul-30 14:58 |
| megatools-doc-1.11.5.20250706-r0.apk | 53400 | 2025-Jul-30 14:58 |
| ldapdomaindump-0.10.0-r0.apk | 18683 | 2025-Jul-30 19:18 |
| ldapdomaindump-pyc-0.10.0-r0.apk | 31086 | 2025-Jul-30 19:18 |
| nwg-panel-0.10.12-r0.apk | 289616 | 2025-Jul-31 07:28 |
| nwg-panel-doc-0.10.12-r0.apk | 4546 | 2025-Jul-31 07:28 |
| nwg-panel-pyc-0.10.12-r0.apk | 276277 | 2025-Jul-31 07:28 |
| sfwbar-1.0_beta161-r0.apk | 295394 | 2025-Jul-31 13:29 |
| sfwbar-doc-1.0_beta161-r0.apk | 27142 | 2025-Jul-31 13:29 |
| telegram-tdlib-1.8.51-r0.apk | 7254257 | 2025-Aug-02 10:27 |
| telegram-tdlib-dev-1.8.51-r0.apk | 193212 | 2025-Aug-02 10:27 |
| telegram-tdlib-static-1.8.51-r0.apk | 22035509 | 2025-Aug-02 10:27 |
| telegram-bot-api-9.1-r0.apk | 7200149 | 2025-Aug-02 10:33 |
| beancount-language-server-1.4.1-r0.apk | 1376761 | 2025-Aug-03 10:41 |
| android-build-tools-15.0-r0.apk | 1825177 | 2025-Aug-04 13:38 |
| php81-pecl-mcrypt-1.0.9-r0.apk | 15456 | 2025-Aug-05 12:42 |
| php84-pecl-mcrypt-1.0.9-r0.apk | 15429 | 2025-Aug-05 12:42 |
| py3-pytest-datadir-1.8.0-r0.apk | 7251 | 2025-Aug-05 12:48 |
| py3-pytest-datadir-pyc-1.8.0-r0.apk | 5522 | 2025-Aug-05 12:48 |
| gperftools-2.17-r0.apk | 25313 | 2025-Aug-05 13:00 |
| gperftools-dev-2.17-r0.apk | 747514 | 2025-Aug-05 13:00 |
| gperftools-doc-2.17-r0.apk | 252828 | 2025-Aug-05 13:00 |
| tcmalloc-2.17-r0.apk | 76151 | 2025-Aug-05 13:00 |
| tcmalloc-debug-2.17-r0.apk | 119052 | 2025-Aug-05 13:00 |
| tcmalloc-minimal-2.17-r0.apk | 58594 | 2025-Aug-05 13:00 |
| tcmalloc-minimal-debug-2.17-r0.apk | 105404 | 2025-Aug-05 13:00 |
| tcmalloc-profiler-2.17-r0.apk | 84412 | 2025-Aug-05 13:00 |
| xendmail-0.4.4-r0.apk | 1042487 | 2025-Aug-06 08:51 |
| xendmail-doc-0.4.4-r0.apk | 2595 | 2025-Aug-06 08:51 |
| primecount-7.19-r0.apk | 29637 | 2025-Aug-07 06:04 |
| primecount-dev-7.19-r0.apk | 2286233 | 2025-Aug-07 06:04 |
| primecount-doc-7.19-r0.apk | 3977 | 2025-Aug-07 06:04 |
| primecount-libs-7.19-r0.apk | 163719 | 2025-Aug-07 06:04 |
| primesieve-12.9-r0.apk | 43425 | 2025-Aug-07 06:04 |
| primesieve-dev-12.9-r0.apk | 1347387 | 2025-Aug-07 06:04 |
| primesieve-doc-12.9-r0.apk | 4162 | 2025-Aug-07 06:04 |
| primesieve-libs-12.9-r0.apk | 114807 | 2025-Aug-07 06:04 |
| py3-pytest-textual-snapshot-1.1.0-r0.apk | 9399 | 2025-Aug-08 13:48 |
| py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10771 | 2025-Aug-08 13:48 |
| bzmenu-0.2.1-r3.apk | 1062883 | 2025-Aug-10 01:01 |
| gnome-mimeapps-0.1-r1.apk | 3780 | 2025-Aug-10 01:01 |
| gssdp-1.6.4-r1.apk | 47812 | 2025-Aug-10 01:01 |
| gssdp-dev-1.6.4-r1.apk | 15895 | 2025-Aug-10 01:01 |
| gupnp-1.6.9-r1.apk | 91828 | 2025-Aug-10 01:01 |
| gupnp-av-0.14.4-r1.apk | 81771 | 2025-Aug-10 01:01 |
| gupnp-av-dev-0.14.4-r1.apk | 42582 | 2025-Aug-10 01:01 |
| gupnp-dev-1.6.9-r1.apk | 51603 | 2025-Aug-10 01:01 |
| gupnp-dlna-0.12.0-r1.apk | 70273 | 2025-Aug-10 01:01 |
| gupnp-dlna-dev-0.12.0-r1.apk | 24925 | 2025-Aug-10 01:01 |
| gupnp-doc-1.6.9-r1.apk | 3894 | 2025-Aug-10 01:01 |
| hare-http-0.25.2.0-r1.apk | 21185 | 2025-Aug-10 01:01 |
| jupyterlab3-3.6.8-r1.apk | 14674756 | 2025-Aug-10 01:01 |
| libdbusaccess-1.0.20-r1.apk | 17627 | 2025-Aug-10 01:01 |
| libdbusaccess-dev-1.0.20-r1.apk | 5116 | 2025-Aug-10 01:01 |
| libnfcdef-1.0.1-r1.apk | 13481 | 2025-Aug-10 01:01 |
| libnfcdef-dev-1.0.1-r1.apk | 5869 | 2025-Aug-10 01:01 |
| logtop-0.7-r1.apk | 13955 | 2025-Aug-10 01:01 |
| logtop-doc-0.7-r1.apk | 2913 | 2025-Aug-10 01:01 |
| logtop-libs-0.7-r1.apk | 15051 | 2025-Aug-10 01:01 |
| mirrorhall-0.1.1-r2.apk | 26936 | 2025-Aug-10 01:01 |
| mod_dnssd-0.6-r1.apk | 9031 | 2025-Aug-10 01:01 |
| perl-promise-me-0.6.0-r0.apk | 27788 | 2025-Aug-10 01:01 |
| perl-promise-me-doc-0.6.0-r0.apk | 13138 | 2025-Aug-10 01:01 |
| perl-wanted-0.1.0-r0.apk | 20906 | 2025-Aug-10 01:01 |
| perl-wanted-doc-0.1.0-r0.apk | 10984 | 2025-Aug-10 01:01 |
| py3-gtkspellcheck-5.0.3-r1.apk | 45858 | 2025-Aug-10 01:01 |
| py3-gtkspellcheck-pyc-5.0.3-r1.apk | 30329 | 2025-Aug-10 01:01 |
| py3-itemloaders-1.3.2-r1.apk | 12597 | 2025-Aug-10 01:01 |
| py3-itemloaders-pyc-1.3.2-r1.apk | 16905 | 2025-Aug-10 01:01 |
| py3-logtop-0.7-r1.apk | 22331 | 2025-Aug-10 01:01 |
| py3-logtop-pyc-0.7-r1.apk | 4176 | 2025-Aug-10 01:01 |
| py3-rofi-1.0.1-r1.apk | 12454 | 2025-Aug-10 01:01 |
| py3-rofi-pyc-1.0.1-r1.apk | 12035 | 2025-Aug-10 01:01 |
| py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9996 | 2025-Aug-10 01:01 |
| py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5758 | 2025-Aug-10 01:01 |
| redhat-fonts-4.1.0-r1.apk | 828404 | 2025-Aug-10 01:02 |
| convert2json-2.3.2-r0.apk | 1356 | 2025-Aug-10 09:19 |
| convert2json-bson-2.3.2-r0.apk | 1311 | 2025-Aug-10 09:19 |
| convert2json-bson-jaq-2.3.2-r0.apk | 236889 | 2025-Aug-10 09:19 |
| convert2json-bson-json-2.3.2-r0.apk | 227488 | 2025-Aug-10 09:19 |
| convert2json-cbor-2.3.2-r0.apk | 1314 | 2025-Aug-10 09:19 |
| convert2json-cbor-jaq-2.3.2-r0.apk | 207683 | 2025-Aug-10 09:19 |
| convert2json-cbor-json-2.3.2-r0.apk | 198471 | 2025-Aug-10 09:19 |
| convert2json-csv-2.3.2-r0.apk | 1315 | 2025-Aug-10 09:19 |
| convert2json-csv-jaq-2.3.2-r0.apk | 225963 | 2025-Aug-10 09:19 |
| convert2json-csv-json-2.3.2-r0.apk | 216377 | 2025-Aug-10 09:19 |
| convert2json-doc-2.3.2-r0.apk | 13604 | 2025-Aug-10 09:19 |
| convert2json-ini-2.3.2-r0.apk | 1313 | 2025-Aug-10 09:19 |
| convert2json-ini-jaq-2.3.2-r0.apk | 191523 | 2025-Aug-10 09:19 |
| convert2json-ini-json-2.3.2-r0.apk | 181766 | 2025-Aug-10 09:19 |
| convert2json-jaq-2.3.2-r0.apk | 1396 | 2025-Aug-10 09:19 |
| convert2json-json-2.3.2-r0.apk | 1378 | 2025-Aug-10 09:19 |
| convert2json-messagepack-2.3.2-r0.apk | 1321 | 2025-Aug-10 09:19 |
| convert2json-messagepack-jaq-2.3.2-r0.apk | 205265 | 2025-Aug-10 09:19 |
| convert2json-messagepack-json-2.3.2-r0.apk | 195997 | 2025-Aug-10 09:19 |
| convert2json-plist-2.3.2-r0.apk | 1317 | 2025-Aug-10 09:19 |
| convert2json-plist-jaq-2.3.2-r0.apk | 245641 | 2025-Aug-10 09:19 |
| convert2json-plist-json-2.3.2-r0.apk | 236468 | 2025-Aug-10 09:19 |
| convert2json-rsv-2.3.2-r0.apk | 1314 | 2025-Aug-10 09:19 |
| convert2json-rsv-jaq-2.3.2-r0.apk | 170979 | 2025-Aug-10 09:19 |
| convert2json-rsv-json-2.3.2-r0.apk | 159706 | 2025-Aug-10 09:19 |
| convert2json-toml-2.3.2-r0.apk | 1317 | 2025-Aug-10 09:19 |
| convert2json-toml-jaq-2.3.2-r0.apk | 242149 | 2025-Aug-10 09:19 |
| convert2json-toml-json-2.3.2-r0.apk | 232477 | 2025-Aug-10 09:19 |
| convert2json-xml-2.3.2-r0.apk | 1315 | 2025-Aug-10 09:19 |
| convert2json-xml-jaq-2.3.2-r0.apk | 203863 | 2025-Aug-10 09:19 |
| convert2json-xml-json-2.3.2-r0.apk | 194023 | 2025-Aug-10 09:19 |
| convert2json-yaml-2.3.2-r0.apk | 1315 | 2025-Aug-10 09:19 |
| convert2json-yaml-jaq-2.3.2-r0.apk | 268324 | 2025-Aug-10 09:19 |
| convert2json-yaml-json-2.3.2-r0.apk | 258702 | 2025-Aug-10 09:19 |
| rss-email-0.5.1-r0.apk | 2332411 | 2025-Aug-10 11:21 |
| rss-email-doc-0.5.1-r0.apk | 6548 | 2025-Aug-10 11:21 |
| linkchecker-10.6.0-r0.apk | 185446 | 2025-Aug-10 11:38 |
| linkchecker-doc-10.6.0-r0.apk | 40003 | 2025-Aug-10 11:38 |
| linkchecker-pyc-10.6.0-r0.apk | 259756 | 2025-Aug-10 11:38 |
| php81-pecl-ast-1.1.3-r0.apk | 21051 | 2025-Aug-10 17:43 |
| py3-maidenhead-1.8.0-r0.apk | 8134 | 2025-Aug-10 21:20 |
| py3-maidenhead-doc-1.8.0-r0.apk | 3772 | 2025-Aug-10 21:20 |
| py3-maidenhead-pyc-1.8.0-r0.apk | 8145 | 2025-Aug-10 21:20 |
| font-openmoji-16.0.0-r0.apk | 1437688 | 2025-Aug-11 06:31 |
| py3-markdownify-1.2.0-r0.apk | 16367 | 2025-Aug-11 12:35 |
| py3-markdownify-pyc-1.2.0-r0.apk | 18697 | 2025-Aug-11 12:35 |
| cliquer-1.23-r0.apk | 7614 | 2025-Aug-12 04:15 |
| cliquer-dev-1.23-r0.apk | 7679 | 2025-Aug-12 04:15 |
| cliquer-libs-1.23-r0.apk | 25256 | 2025-Aug-12 04:15 |
| cliquer-static-1.23-r0.apk | 31279 | 2025-Aug-12 04:15 |
| cliquer-tests-1.23-r0.apk | 24573 | 2025-Aug-12 04:15 |
| rt6-6.0.1-r0.apk | 12858921 | 2025-Aug-12 07:31 |
| py3-pyinstrument-5.1.1-r0.apk | 112251 | 2025-Aug-13 08:05 |
| py3-pyinstrument-pyc-5.1.1-r0.apk | 102914 | 2025-Aug-13 08:05 |
| wlroots0.17-0.17.4-r3.apk | 378876 | 2025-Aug-13 08:05 |
| wlroots0.17-dbg-0.17.4-r3.apk | 1508767 | 2025-Aug-13 08:05 |
| wlroots0.17-dev-0.17.4-r3.apk | 79271 | 2025-Aug-13 08:05 |
| py3-netmiko-4.6.0-r0.apk | 196526 | 2025-Aug-13 15:31 |
| py3-netmiko-pyc-4.6.0-r0.apk | 381185 | 2025-Aug-13 15:31 |
| py3-yara-4.5.4-r0.apk | 18530 | 2025-Aug-13 15:32 |
| icingaweb2-module-businessprocess-2.5.2-r0.apk | 112661 | 2025-Aug-13 15:54 |
| icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2142105 | 2025-Aug-13 15:54 |
| py3-pyzor-1.1.2-r0.apk | 41290 | 2025-Aug-14 06:26 |
| py3-pyzor-pyc-1.1.2-r0.apk | 55271 | 2025-Aug-14 06:26 |
| py3-apsw-3.50.4.0-r0.apk | 874556 | 2025-Aug-14 07:58 |
| py3-apsw-pyc-3.50.4.0-r0.apk | 568621 | 2025-Aug-14 07:58 |
| py3-rtree-1.4.1-r0.apk | 27077 | 2025-Aug-14 10:18 |
| py3-rtree-pyc-1.4.1-r0.apk | 49262 | 2025-Aug-14 10:18 |
| formiko-1.5.0-r0.apk | 109533 | 2025-Aug-15 09:06 |
| formiko-doc-1.5.0-r0.apk | 8743 | 2025-Aug-15 09:06 |
| formiko-pyc-1.5.0-r0.apk | 63035 | 2025-Aug-15 09:06 |
| netdiscover-0.21-r0.apk | 470379 | 2025-Aug-15 12:45 |
| netdiscover-doc-0.21-r0.apk | 4304 | 2025-Aug-15 12:45 |
| perl-cpan-changes-0.500005-r0.apk | 13728 | 2025-Aug-16 14:22 |
| perl-cpan-changes-doc-0.500005-r0.apk | 18784 | 2025-Aug-16 14:22 |
| perl-extutils-makemaker-7.76-r0.apk | 178394 | 2025-Aug-16 14:22 |
| cargo-machete-0.9.1-r0.apk | 1247524 | 2025-Aug-18 00:38 |
| cargo-machete-doc-0.9.1-r0.apk | 4464 | 2025-Aug-18 00:38 |
| php81-pecl-brotli-0.18.2-r0.apk | 15416 | 2025-Aug-18 00:38 |
| netsed-1.4-r0.apk | 10304 | 2025-Aug-18 10:15 |
| rocm-cmake-6.4.3-r0.apk | 29122 | 2025-Aug-18 11:32 |
| rocm-cmake-doc-6.4.3-r0.apk | 2483 | 2025-Aug-18 11:32 |
| rocm-core-6.4.3-r0.apk | 8151 | 2025-Aug-18 11:32 |
| rocm-core-dev-6.4.3-r0.apk | 6747 | 2025-Aug-18 11:32 |
| rocm-core-doc-6.4.3-r0.apk | 2487 | 2025-Aug-18 11:32 |
| chocolate-doom-3.1.1-r0.apk | 1708926 | 2025-Aug-19 01:30 |
| chocolate-doom-doc-3.1.1-r0.apk | 238190 | 2025-Aug-19 01:30 |
| lomiri-gallery-app-3.0.2-r2.apk | 3894887 | 2025-Aug-19 09:31 |
| lomiri-gallery-app-lang-3.0.2-r2.apk | 108799 | 2025-Aug-19 09:31 |
| getmail6-6.19.10-r0.apk | 72083 | 2025-Aug-19 11:29 |
| getmail6-doc-6.19.10-r0.apk | 141831 | 2025-Aug-19 11:29 |
| getmail6-pyc-6.19.10-r0.apk | 105744 | 2025-Aug-19 11:29 |
| meep-1.31.0-r1.apk | 637650 | 2025-Aug-19 13:04 |
| meep-dev-1.31.0-r1.apk | 518914 | 2025-Aug-19 13:04 |
| numbat-1.16.0-r0.apk | 1869467 | 2025-Aug-19 13:10 |
| numbat-doc-1.16.0-r0.apk | 32719 | 2025-Aug-19 13:10 |
| lv_font_conv-1.5.3-r0.apk | 1181739 | 2025-Aug-19 19:54 |
| lv_font_conv-doc-1.5.3-r0.apk | 5095 | 2025-Aug-19 19:54 |
| nrf5-sdk-17.1.0-r0.apk | 49237019 | 2025-Aug-19 19:54 |
| nrf5-sdk-doc-17.1.0-r0.apk | 3715 | 2025-Aug-19 19:54 |
| lomiri-calculator-app-4.1.0-r0.apk | 390884 | 2025-Aug-19 20:08 |
| lomiri-calculator-app-lang-4.1.0-r0.apk | 42466 | 2025-Aug-19 20:08 |
| lomiri-docviewer-app-3.1.2-r0.apk | 234715 | 2025-Aug-19 20:08 |
| lomiri-docviewer-app-doc-3.1.2-r0.apk | 2071 | 2025-Aug-19 20:08 |
| lomiri-docviewer-app-lang-3.1.2-r0.apk | 140876 | 2025-Aug-19 20:08 |
| lomiri-filemanager-app-1.1.4-r0.apk | 343128 | 2025-Aug-19 20:08 |
| lomiri-filemanager-app-lang-1.1.4-r0.apk | 183966 | 2025-Aug-19 20:08 |
| lomiri-sounds-25.01-r0.apk | 18837787 | 2025-Aug-19 20:08 |
| himitsu-git-0.9.0-r0.apk | 127421 | 2025-Aug-20 16:37 |
| dfl-applications-0.3.0-r0.apk | 69439 | 2025-Aug-21 07:47 |
| dfl-applications-dev-0.3.0-r0.apk | 4020 | 2025-Aug-21 07:47 |
| dfl-ipc-0.3.0-r0.apk | 50047 | 2025-Aug-21 07:47 |
| dfl-ipc-dev-0.3.0-r0.apk | 4900 | 2025-Aug-21 07:47 |
| dfl-login1-0.3.0-r0.apk | 35617 | 2025-Aug-21 07:47 |
| dfl-login1-dev-0.3.0-r0.apk | 3783 | 2025-Aug-21 07:47 |
| dfl-sni-0.3.0-r0.apk | 61525 | 2025-Aug-21 07:47 |
| dfl-sni-dev-0.3.0-r0.apk | 5071 | 2025-Aug-21 07:47 |
| paperde-0.3.0-r2.apk | 631511 | 2025-Aug-21 07:47 |
| paperde-dev-0.3.0-r2.apk | 5090 | 2025-Aug-21 07:47 |
| wayqt-0.3.0-r1.apk | 137625 | 2025-Aug-21 07:47 |
| wayqt-dev-0.3.0-r1.apk | 18799 | 2025-Aug-21 07:47 |
| vim-rust-305-r1.apk | 20374 | 2025-Aug-21 07:55 |
| darkreader-4.9.110-r0.apk | 785825 | 2025-Aug-21 08:58 |
| py3-cstruct-6.1-r0.apk | 23731 | 2025-Aug-21 23:06 |
| py3-cstruct-pyc-6.1-r0.apk | 38532 | 2025-Aug-21 23:06 |
| swappy-1.7.1-r0.apk | 28953 | 2025-Aug-21 23:29 |
| swappy-doc-1.7.1-r0.apk | 3906 | 2025-Aug-21 23:29 |
| swappy-lang-1.7.1-r0.apk | 3881 | 2025-Aug-21 23:29 |
| texlab-5.23.1-r0.apk | 9047136 | 2025-Aug-22 07:31 |
| komikku-1.85.0-r0.apk | 454757 | 2025-Aug-22 08:18 |
| komikku-lang-1.85.0-r0.apk | 291115 | 2025-Aug-22 08:18 |
| komikku-pyc-1.85.0-r0.apk | 816748 | 2025-Aug-22 08:18 |
| maxima-5.48.1-r9.apk | 25454122 | 2025-Aug-22 10:16 |
| maxima-bash-completion-5.48.1-r9.apk | 2387 | 2025-Aug-22 10:16 |
| maxima-doc-5.48.1-r9.apk | 865984 | 2025-Aug-22 10:16 |
| maxima-doc-extra-5.48.1-r9.apk | 10116320 | 2025-Aug-22 10:16 |
| maxima-emacs-5.48.1-r9.apk | 113411 | 2025-Aug-22 10:16 |
| wiremix-0.7.0-r0.apk | 760742 | 2025-Aug-22 12:01 |
| wiremix-doc-0.7.0-r0.apk | 9626 | 2025-Aug-22 12:01 |
| incus-ui-canonical-0.18.0-r0.apk | 4879485 | 2025-Aug-22 12:18 |
| ttynvt-0.17-r0.apk | 15485 | 2025-Aug-22 12:50 |
| usbmuxd-1.1.1_git20250201-r9.apk | 36068 | 2025-Aug-24 12:37 |
| usbmuxd-doc-1.1.1_git20250201-r9.apk | 3077 | 2025-Aug-24 12:37 |
| usbmuxd-udev-1.1.1_git20250201-r9.apk | 2139 | 2025-Aug-24 12:37 |
| xtensor-0.27.0-r0.apk | 276185 | 2025-Aug-25 06:40 |
| py3-croniter-6.0.0-r0.apk | 26422 | 2025-Aug-25 09:05 |
| py3-croniter-pyc-6.0.0-r0.apk | 26200 | 2025-Aug-25 09:05 |
| py3-himitsu-0.0.9-r0.apk | 5950 | 2025-Aug-25 10:57 |
| py3-himitsu-pyc-0.0.9-r0.apk | 7726 | 2025-Aug-25 10:57 |
| buildbot-4.3.0-r0.apk | 753517 | 2025-Aug-25 13:20 |
| buildbot-badges-4.3.0-r0.apk | 9543 | 2025-Aug-25 13:20 |
| buildbot-console-view-4.3.0-r0.apk | 23983 | 2025-Aug-25 13:20 |
| buildbot-grid-view-4.3.0-r0.apk | 11599 | 2025-Aug-25 13:20 |
| buildbot-pyc-4.3.0-r0.apk | 1568356 | 2025-Aug-25 13:20 |
| buildbot-waterfall-view-4.3.0-r0.apk | 34800 | 2025-Aug-25 13:20 |
| buildbot-worker-4.3.0-r0.apk | 195962 | 2025-Aug-25 13:20 |
| buildbot-wsgi-dashboards-4.3.0-r0.apk | 436044 | 2025-Aug-25 13:20 |
| buildbot-www-4.3.0-r0.apk | 432264 | 2025-Aug-25 13:20 |
| hare-adwaita-0.1.0-r0.apk | 91748 | 2025-Aug-25 17:30 |
| hare-gi-0.1.0-r0.apk | 1672771 | 2025-Aug-25 17:30 |
| hare-gtk4-layer-shell-0.1.0-r0.apk | 3881 | 2025-Aug-25 17:30 |
| xdg-desktop-portal-hyprland-1.3.10-r0.apk | 325113 | 2025-Aug-25 17:41 |
| xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk | 2493 | 2025-Aug-25 17:41 |
| cmusfm-0.5.0-r1.apk | 16031 | 2025-Aug-27 04:14 |
| perl-minion-11.0-r0.apk | 1575490 | 2025-Aug-27 09:07 |
| perl-minion-backend-pg-11.0-r0.apk | 9800 | 2025-Aug-27 09:07 |
| perl-minion-doc-11.0-r0.apk | 51833 | 2025-Aug-27 09:07 |
| py3-pyglet-2.1.5-r1.apk | 911268 | 2025-Aug-27 14:47 |
| py3-pyglet-pyc-2.1.5-r1.apk | 1700002 | 2025-Aug-27 14:47 |
| wl-screenrec-0.1.7-r1.apk | 523009 | 2025-Aug-27 16:38 |
| wl-screenrec-bash-completion-0.1.7-r1.apk | 2476 | 2025-Aug-27 16:38 |
| wl-screenrec-doc-0.1.7-r1.apk | 9732 | 2025-Aug-27 16:38 |
| wl-screenrec-fish-completion-0.1.7-r1.apk | 3295 | 2025-Aug-27 16:38 |
| wl-screenrec-zsh-completion-0.1.7-r1.apk | 3742 | 2025-Aug-27 16:38 |
| certbot-dns-pdns-0.1.1-r1.apk | 8815 | 2025-Aug-28 01:19 |
| certbot-dns-pdns-pyc-0.1.1-r1.apk | 3967 | 2025-Aug-28 01:19 |
| nwg-displays-0.3.26-r0.apk | 27307 | 2025-Aug-28 16:59 |
| nwg-displays-pyc-0.3.26-r0.apk | 36914 | 2025-Aug-28 16:59 |
| py3-enlighten-1.14.1-r0.apk | 37790 | 2025-Aug-28 16:59 |
| py3-enlighten-pyc-1.14.1-r0.apk | 47518 | 2025-Aug-28 16:59 |
| py3-prefixed-0.9.0-r0.apk | 14700 | 2025-Aug-28 16:59 |
| py3-prefixed-pyc-0.9.0-r0.apk | 8816 | 2025-Aug-28 16:59 |
| glslviewer-3.2.4-r2.apk | 1771234 | 2025-Aug-28 17:44 |
| nymphcast-mediaserver-0.1-r4.apk | 70785 | 2025-Aug-28 21:08 |
| nymphcast-mediaserver-nftables-0.1-r4.apk | 1737 | 2025-Aug-28 21:08 |
| php81-pecl-apcu-5.1.27-r0.apk | 57136 | 2025-Aug-28 21:50 |
| raku-distribution-builder-makefromjson-0.6-r1.apk | 42355 | 2025-Aug-29 08:00 |
| raku-distribution-builder-makefromjson-doc-0.6-r..> | 2410 | 2025-Aug-29 08:00 |
| raku-system-query-0.1.6-r1.apk | 17127 | 2025-Aug-29 08:00 |
| raku-system-query-doc-0.1.6-r1.apk | 2573 | 2025-Aug-29 08:00 |
| please-0.5.6-r0.apk | 982318 | 2025-Aug-29 08:10 |
| please-doc-0.5.6-r0.apk | 16441 | 2025-Aug-29 08:10 |
| py3-marisa-trie-1.3.1-r0.apk | 133098 | 2025-Aug-29 09:31 |
| py3-lsp-mypy-0.7.0-r1.apk | 13129 | 2025-Aug-30 01:39 |
| py3-lsp-mypy-pyc-0.7.0-r1.apk | 13064 | 2025-Aug-30 01:39 |
| perl-cgi-simple-1.282-r0.apk | 56964 | 2025-Aug-30 04:17 |
| perl-cgi-simple-doc-1.282-r0.apk | 43758 | 2025-Aug-30 04:17 |
| xfsdump-3.2.0-r0.apk | 373702 | 2025-Aug-30 20:48 |
| xfsdump-doc-3.2.0-r0.apk | 43466 | 2025-Aug-30 20:48 |
| xwayland-satellite-0.7-r0.apk | 925473 | 2025-Aug-30 22:13 |
| libsirocco-2.1.1-r0.apk | 61686 | 2025-Aug-31 17:16 |
| libsirocco-dev-2.1.1-r0.apk | 2253 | 2025-Aug-31 17:16 |
| ty-0.0.1_alpha19-r0.apk | 5685411 | 2025-Sep-01 00:24 |
| ty-bash-completion-0.0.1_alpha19-r0.apk | 2606 | 2025-Sep-01 00:24 |
| ty-fish-completion-0.0.1_alpha19-r0.apk | 3152 | 2025-Sep-01 00:24 |
| ty-pyc-0.0.1_alpha19-r0.apk | 3973 | 2025-Sep-01 00:24 |
| ty-zsh-completion-0.0.1_alpha19-r0.apk | 3475 | 2025-Sep-01 00:24 |
| py3-virtualenvwrapper-6.1.1-r0.apk | 22151 | 2025-Sep-01 00:26 |
| py3-virtualenvwrapper-pyc-6.1.1-r0.apk | 12049 | 2025-Sep-01 00:26 |
| toml2json-1.3.2-r0.apk | 335655 | 2025-Sep-01 13:13 |
| toml2json-doc-1.3.2-r0.apk | 3791 | 2025-Sep-01 13:13 |
| perl-cpansa-db-20250807.001-r0.apk | 1350737 | 2025-Sep-01 13:16 |
| perl-cpansa-db-doc-20250807.001-r0.apk | 5705 | 2025-Sep-01 13:16 |
| perl-io-interactive-1.027-r0.apk | 5442 | 2025-Sep-01 13:16 |
| perl-io-interactive-doc-1.027-r0.apk | 5323 | 2025-Sep-01 13:16 |
| py3-queuelib-1.8.0-r0.apk | 12708 | 2025-Sep-01 18:13 |
| py3-queuelib-pyc-1.8.0-r0.apk | 25073 | 2025-Sep-01 18:13 |
| py3-dnslib-0.9.26-r0.apk | 57749 | 2025-Sep-01 18:15 |
| py3-dnslib-pyc-0.9.26-r0.apk | 111688 | 2025-Sep-01 18:15 |
| authenticator-rs-0.8.6-r0.apk | 2140029 | 2025-Sep-01 18:29 |
| authenticator-rs-lang-0.8.6-r0.apk | 4160 | 2025-Sep-01 18:29 |
| elementary-camera-8.0.2-r0.apk | 89229 | 2025-Sep-02 02:25 |
| elementary-camera-lang-8.0.2-r0.apk | 36015 | 2025-Sep-02 02:25 |
| elementary-videos-8.0.2-r0.apk | 117273 | 2025-Sep-02 02:28 |
| elementary-videos-lang-8.0.2-r0.apk | 85417 | 2025-Sep-02 02:28 |
| elementary-calculator-8.0.1-r0.apk | 73727 | 2025-Sep-02 02:29 |
| elementary-calculator-lang-8.0.1-r0.apk | 60922 | 2025-Sep-02 02:29 |
| amdgpu_top-0.11.0-r0.apk | 6898413 | 2025-Sep-03 00:48 |
| amdgpu_top-doc-0.11.0-r0.apk | 4078 | 2025-Sep-03 00:48 |
| malcontent-0.13.1-r0.apk | 163511 | 2025-Sep-03 18:27 |
| malcontent-dev-0.13.1-r0.apk | 24540 | 2025-Sep-03 18:27 |
| malcontent-doc-0.13.1-r0.apk | 45802 | 2025-Sep-03 18:27 |
| libqtdbustest-0.4.0-r0.apk | 33796 | 2025-Sep-03 19:33 |
| aide-0.19.2-r0.apk | 85529 | 2025-Sep-06 16:20 |
| aide-doc-0.19.2-r0.apk | 15915 | 2025-Sep-06 16:20 |
| alertmanager-irc-relay-0.5.1-r1.apk | 4790419 | 2025-Sep-06 16:20 |
| alertmanager-irc-relay-openrc-0.5.1-r1.apk | 2034 | 2025-Sep-06 16:20 |
| apulse-0.1.14-r0.apk | 44293 | 2025-Sep-06 16:20 |
| apulse-doc-0.1.14-r0.apk | 3016 | 2025-Sep-06 16:20 |
| ckb-next-0.6.2-r1.apk | 1423560 | 2025-Sep-06 16:20 |
| ckb-next-daemon-0.6.2-r1.apk | 75154 | 2025-Sep-06 16:20 |
| ckb-next-daemon-openrc-0.6.2-r1.apk | 1882 | 2025-Sep-06 16:20 |
| ckb-next-dev-0.6.2-r1.apk | 5050 | 2025-Sep-06 16:20 |
| epic6-0_git20250821-r0.apk | 372630 | 2025-Sep-06 16:20 |
| epic6-doc-0_git20250821-r0.apk | 17608 | 2025-Sep-06 16:20 |
| epic6-script-0_git20250821-r0.apk | 152554 | 2025-Sep-06 16:20 |
| exabgp-4.2.24-r1.apk | 394057 | 2025-Sep-06 16:20 |
| exabgp-doc-4.2.24-r1.apk | 8290 | 2025-Sep-06 16:20 |
| exabgp-openrc-4.2.24-r1.apk | 2305 | 2025-Sep-06 16:20 |
| exabgp-pyc-4.2.24-r1.apk | 796938 | 2025-Sep-06 16:20 |
| femto-2.24.1-r0.apk | 65813 | 2025-Sep-06 16:20 |
| femto-doc-2.24.1-r0.apk | 50186 | 2025-Sep-06 16:20 |
| gearman-dev-1.1.22-r0.apk | 1104083 | 2025-Sep-06 16:20 |
| gearman-libs-1.1.22-r0.apk | 78433 | 2025-Sep-06 16:20 |
| gearmand-1.1.22-r0.apk | 168248 | 2025-Sep-06 16:20 |
| gearmand-doc-1.1.22-r0.apk | 193558 | 2025-Sep-06 16:20 |
| gearmand-openrc-1.1.22-r0.apk | 1848 | 2025-Sep-06 16:20 |
| go-away-0.7.0-r1.apk | 8307017 | 2025-Sep-06 16:20 |
| go-away-openrc-0.7.0-r1.apk | 2319 | 2025-Sep-06 16:20 |
| gradia-1.9.0-r0.apk | 544650 | 2025-Sep-06 16:20 |
| gradia-dev-1.9.0-r0.apk | 2589 | 2025-Sep-06 16:20 |
| gradia-lang-1.9.0-r0.apk | 56872 | 2025-Sep-06 16:20 |
| himitsu-totp-0.9-r0.apk | 164308 | 2025-Sep-06 16:20 |
| himitsu-totp-doc-0.9-r0.apk | 2426 | 2025-Sep-06 16:20 |
| lshell-0.9.18-r12.apk | 37089 | 2025-Sep-06 16:20 |
| lshell-doc-0.9.18-r12.apk | 25711 | 2025-Sep-06 16:20 |
| lshell-pyc-0.9.18-r12.apk | 35894 | 2025-Sep-06 16:20 |
| perl-cpan-audit-20250829.001-r0.apk | 13839 | 2025-Sep-06 16:20 |
| perl-cpan-audit-doc-20250829.001-r0.apk | 12654 | 2025-Sep-06 16:20 |
| perl-module-extract-version-1.119-r0.apk | 3329 | 2025-Sep-06 16:20 |
| perl-module-extract-version-doc-1.119-r0.apk | 3543 | 2025-Sep-06 16:20 |
| py3-engineio-4.12.2-r0.apk | 49851 | 2025-Sep-06 16:20 |
| py3-engineio-doc-4.12.2-r0.apk | 34209 | 2025-Sep-06 16:20 |
| py3-engineio-pyc-4.12.2-r0.apk | 106424 | 2025-Sep-06 16:20 |
| py3-flask-socketio-5.5.1-r0.apk | 18784 | 2025-Sep-06 16:20 |
| py3-flask-socketio-doc-5.5.1-r0.apk | 23571 | 2025-Sep-06 16:20 |
| py3-flask-socketio-pyc-5.5.1-r0.apk | 26569 | 2025-Sep-06 16:20 |
| py3-simple-websocket-1.1.0-r0.apk | 11134 | 2025-Sep-06 16:20 |
| py3-simple-websocket-doc-1.1.0-r0.apk | 2343 | 2025-Sep-06 16:20 |
| py3-simple-websocket-pyc-1.1.0-r0.apk | 23299 | 2025-Sep-06 16:20 |
| py3-socketio-5.13.0-r0.apk | 63709 | 2025-Sep-06 16:20 |
| py3-socketio-doc-5.13.0-r0.apk | 36875 | 2025-Sep-06 16:20 |
| py3-socketio-pyc-5.13.0-r0.apk | 129773 | 2025-Sep-06 16:20 |
| rust-script-0.36.0-r0.apk | 856562 | 2025-Sep-06 16:20 |
| sturmreader-3.7.2-r2.apk | 1040670 | 2025-Sep-06 16:20 |
| sturmreader-lang-3.7.2-r2.apk | 39908 | 2025-Sep-06 16:20 |
| timeshift-25.07.7-r0.apk | 468019 | 2025-Sep-06 16:20 |
| timeshift-doc-25.07.7-r0.apk | 3244 | 2025-Sep-06 16:20 |
| timeshift-lang-25.07.7-r0.apk | 949296 | 2025-Sep-06 16:20 |
| tuptime-doc-5.2.4-r2.apk | 3892 | 2025-Sep-06 16:20 |
| tuptime-openrc-5.2.4-r2.apk | 1792 | 2025-Sep-06 16:20 |
| tuptime-5.2.4-r2.apk | 14301 | 2025-Sep-06 16:20 |
| lomiri-indicator-network-lang-1.1.2-r0.apk | 204230 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-1.1.2-r0.apk | 596094 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-dev-1.1.2-r0.apk | 9763 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-doc-1.1.2-r0.apk | 2109 | 2025-Sep-08 01:00 |
| persistent-cache-cpp-1.0.9-r0.apk | 45202 | 2025-Sep-08 01:00 |
| persistent-cache-cpp-dev-1.0.9-r0.apk | 18269 | 2025-Sep-08 01:00 |
| persistent-cache-cpp-doc-1.0.9-r0.apk | 3276 | 2025-Sep-08 01:00 |
| oniux-0.6.1-r0.apk | 6058792 | 2025-Sep-08 15:37 |
| oniux-doc-0.6.1-r0.apk | 3330 | 2025-Sep-08 15:37 |
| nauty-2.9.1-r0.apk | 6152796 | 2025-Sep-08 16:41 |
| nauty-dev-2.9.1-r0.apk | 3966304 | 2025-Sep-08 16:41 |
| nauty-libs-2.9.1-r0.apk | 2872544 | 2025-Sep-08 16:41 |
| xml2rfc-3.28.1-r2.apk | 360293 | 2025-Sep-09 08:47 |
| xml2rfc-pyc-3.28.1-r2.apk | 417343 | 2025-Sep-09 08:47 |
| linux-elm-6.16.5-r0.apk | 20096886 | 2025-Sep-09 09:47 |
| php81-pecl-zstd-0.15.2-r0.apk | 17459 | 2025-Sep-09 19:05 |
| smile-2.10.2-r0.apk | 884020 | 2025-Sep-09 21:19 |
| smile-lang-2.10.2-r0.apk | 28905 | 2025-Sep-09 21:19 |
| perl-mce-1.902-r0.apk | 137687 | 2025-Sep-10 03:59 |
| perl-mce-doc-1.902-r0.apk | 175342 | 2025-Sep-10 03:59 |
| jadx-1.5.3-r0.apk | 116667103 | 2025-Sep-10 12:55 |
| jadx-doc-1.5.3-r0.apk | 5655 | 2025-Sep-10 12:55 |
| ostui-1.0.4-r0.apk | 4825279 | 2025-Sep-11 02:50 |
| ostui-doc-1.0.4-r0.apk | 28608 | 2025-Sep-11 02:50 |
| git-quick-stats-2.8.0-r0.apk | 15605 | 2025-Sep-11 08:18 |
| git-quick-stats-doc-2.8.0-r0.apk | 3557 | 2025-Sep-11 08:18 |
| nm-tray-0.5.1-r0.apk | 101875 | 2025-Sep-11 17:35 |
| nm-tray-lang-0.5.1-r0.apk | 27902 | 2025-Sep-11 17:35 |
| perl-snmp-info-doc-3.974000-r0.apk | 398937 | 2025-Sep-12 04:49 |
| perl-snmp-info-3.974000-r0.apk | 345037 | 2025-Sep-12 04:49 |
| cava-0.10.6-r0.apk | 49793 | 2025-Sep-12 08:10 |
| litterbox-doc-1.9-r2.apk | 7381 | 2025-Sep-13 01:00 |
| litterbox-1.9-r2.apk | 34934 | 2025-Sep-13 01:00 |
| pounce-3.1-r4.apk | 31062 | 2025-Sep-13 01:00 |
| pounce-doc-3.1-r4.apk | 8746 | 2025-Sep-13 01:00 |
| pounce-openrc-3.1-r4.apk | 2812 | 2025-Sep-13 01:00 |
| sacc-1.07-r1.apk | 16075 | 2025-Sep-13 01:00 |
| sacc-doc-1.07-r1.apk | 2944 | 2025-Sep-13 01:00 |
| waynergy-0.0.17-r1.apk | 52495 | 2025-Sep-13 01:00 |
| tcpbench-3.00-r1.apk | 21357 | 2025-Sep-13 01:00 |
| tcpbench-doc-3.00-r1.apk | 5382 | 2025-Sep-13 01:00 |
| reap-doc-0.2-r0.apk | 2730 | 2025-Sep-13 14:13 |
| ptouch-print-1.7-r0.apk | 28999 | 2025-Sep-13 14:13 |
| ptouch-print-doc-1.7-r0.apk | 3206 | 2025-Sep-13 14:13 |
| reap-0.2-r0.apk | 5393 | 2025-Sep-13 14:13 |
| py3-wikipedia-doc-1.4.0-r0.apk | 4244 | 2025-Sep-14 06:33 |
| py3-wikipedia-1.4.0-r0.apk | 12506 | 2025-Sep-14 06:33 |
| py3-wikipedia-pyc-1.4.0-r0.apk | 16593 | 2025-Sep-14 06:33 |
| ufw-docker-250710-r0.apk | 7605 | 2025-Sep-14 06:51 |
| ufw-docker-doc-250710-r0.apk | 13902 | 2025-Sep-14 06:51 |
| gtranslator-lang-49.0-r0.apk | 607241 | 2025-Sep-15 07:46 |
| gtranslator-49.0-r0.apk | 144319 | 2025-Sep-15 07:46 |
| gtranslator-doc-49.0-r0.apk | 652815 | 2025-Sep-15 07:46 |
| font-hanazono-20170904-r2.apk | 30352511 | 2025-Sep-15 13:00 |
| visidata-pyc-3.3-r0.apk | 866106 | 2025-Sep-15 13:56 |
| visidata-doc-3.3-r0.apk | 18831 | 2025-Sep-15 13:56 |
| visidata-zsh-completion-3.3-r0.apk | 9660 | 2025-Sep-15 13:56 |
| visidata-3.3-r0.apk | 434842 | 2025-Sep-15 13:56 |
| unit-php81-1.35.0-r1.apk | 33369 | 2025-Sep-15 15:03 |
| py3-meshtastic-2.7.2-r0.apk | 552782 | 2025-Sep-16 14:11 |
| lutris-lang-0.5.19-r1.apk | 829932 | 2025-Sep-16 18:08 |
| lutris-0.5.19-r1.apk | 838860 | 2025-Sep-16 18:08 |
| lutris-doc-0.5.19-r1.apk | 2401 | 2025-Sep-16 18:08 |
| lutris-pyc-0.5.19-r1.apk | 1187322 | 2025-Sep-16 18:08 |
| snapper-0.13.0-r0.apk | 1052833 | 2025-Sep-16 18:45 |
| snapper-bash-completion-0.13.0-r0.apk | 3451 | 2025-Sep-16 18:45 |
| snapper-dev-0.13.0-r0.apk | 10989 | 2025-Sep-16 18:45 |
| snapper-doc-0.13.0-r0.apk | 25866 | 2025-Sep-16 18:45 |
| snapper-lang-0.13.0-r0.apk | 224207 | 2025-Sep-16 18:45 |
| snapper-zsh-completion-0.13.0-r0.apk | 3652 | 2025-Sep-16 18:45 |
| quodlibet-4.7.1-r0.apk | 1091033 | 2025-Sep-16 18:53 |
| quodlibet-bash-completion-4.7.1-r0.apk | 4608 | 2025-Sep-16 18:53 |
| quodlibet-doc-4.7.1-r0.apk | 8867 | 2025-Sep-16 18:53 |
| quodlibet-lang-4.7.1-r0.apk | 1487943 | 2025-Sep-16 18:53 |
| quodlibet-pyc-4.7.1-r0.apk | 1902379 | 2025-Sep-16 18:53 |
| quodlibet-zsh-completion-4.7.1-r0.apk | 2776 | 2025-Sep-16 18:53 |
| mat2-0.13.5-r0.apk | 36253 | 2025-Sep-16 19:00 |
| mat2-doc-0.13.5-r0.apk | 7877 | 2025-Sep-16 19:00 |
| mat2-pyc-0.13.5-r0.apk | 55791 | 2025-Sep-16 19:00 |
| qtile-0.33.0-r0.apk | 480197 | 2025-Sep-17 07:51 |
| qtile-pyc-0.33.0-r0.apk | 878533 | 2025-Sep-17 07:51 |
| hiprompt-gtk-py-0.8.0-r1.apk | 8238 | 2025-Sep-17 10:03 |
| amber-0.4.0_alpha-r0.apk | 499117 | 2025-Sep-18 02:17 |
| perl-astro-satpass-doc-0.134-r0.apk | 136065 | 2025-Sep-18 17:21 |
| perl-astro-satpass-0.134-r0.apk | 223344 | 2025-Sep-18 17:21 |
| evolution-on-3.24.4-r1.apk | 11257 | 2025-Sep-18 17:36 |
| tangara-companion-0.5.0-r0.apk | 880805 | 2025-Sep-19 08:14 |
| fungw-perl-1.2.2-r0.apk | 50534 | 2025-Sep-19 18:25 |
| fungw-1.2.2-r0.apk | 14688 | 2025-Sep-19 18:25 |
| fungw-c-1.2.2-r0.apk | 8704 | 2025-Sep-19 18:25 |
| fungw-cli-1.2.2-r0.apk | 24322 | 2025-Sep-19 18:25 |
| fungw-dev-1.2.2-r0.apk | 8002 | 2025-Sep-19 18:25 |
| fungw-doc-1.2.2-r0.apk | 13503 | 2025-Sep-19 18:25 |
| fungw-duktape-1.2.2-r0.apk | 18122 | 2025-Sep-19 18:25 |
| fungw-fawk-1.2.2-r0.apk | 109169 | 2025-Sep-19 18:25 |
| fungw-lua-1.2.2-r0.apk | 15843 | 2025-Sep-19 18:25 |
| fungw-mujs-1.2.2-r0.apk | 17715 | 2025-Sep-19 18:25 |
| fungw-python3-1.2.2-r0.apk | 27960 | 2025-Sep-19 18:25 |
| fungw-tcl-1.2.2-r0.apk | 14337 | 2025-Sep-19 18:25 |
| river-bedload-0.1.1_git20250823-r0.apk | 133632 | 2025-Sep-21 07:18 |
| river-bedload-doc-0.1.1_git20250823-r0.apk | 2361 | 2025-Sep-21 07:18 |
| river-bedload-zsh-completion-0.1.1_git20250823-r..> | 1918 | 2025-Sep-21 07:18 |
| wolfssh-1.4.17-r1.apk | 137086 | 2025-Sep-21 14:09 |
| wolfssh-dev-1.4.17-r1.apk | 173967 | 2025-Sep-21 14:09 |
| crow-translate-4.0.2-r0.apk | 11231558 | 2025-Sep-21 14:09 |
| crow-translate-lang-4.0.2-r0.apk | 567192 | 2025-Sep-21 14:09 |
| stam-0.12.2-r0.apk | 1584139 | 2025-Sep-22 12:09 |
| eclipse-ecj-4.37-r0.apk | 2664649 | 2025-Sep-22 13:04 |
| mapserver-8.4.1-r0.apk | 1377371 | 2025-Sep-22 13:15 |
| mapserver-dev-8.4.1-r0.apk | 552854 | 2025-Sep-22 13:15 |
| libopensles-standalone-0_git20250913-r0.apk | 37713 | 2025-Sep-22 13:30 |
| libopensles-standalone-dbg-0_git20250913-r0.apk | 251746 | 2025-Sep-22 13:30 |
| libopensles-standalone-dev-0_git20250913-r0.apk | 1622 | 2025-Sep-22 13:30 |
| hare-scfg-0.25.2-r0.apk | 4788 | 2025-Sep-22 15:28 |
| hypridle-openrc-0.1.7-r0.apk | 1740 | 2025-Sep-23 11:41 |
| hypridle-0.1.7-r0.apk | 132495 | 2025-Sep-23 11:41 |
| hypridle-doc-0.1.7-r0.apk | 2448 | 2025-Sep-23 11:41 |
| hyprpicker-0.4.5-r0.apk | 118682 | 2025-Sep-23 11:43 |
| hyprpicker-doc-0.4.5-r0.apk | 3910 | 2025-Sep-23 11:43 |
| agate-3.3.19-r0.apk | 867905 | 2025-Sep-23 21:13 |
| agate-openrc-3.3.19-r0.apk | 2009 | 2025-Sep-23 21:13 |
| nomadnet-0.8.0-r0.apk | 146724 | 2025-Sep-23 21:13 |
| nomadnet-pyc-0.8.0-r0.apk | 291473 | 2025-Sep-23 21:13 |
| py3-lxmf-0.8.0-r0.apk | 52010 | 2025-Sep-23 21:13 |
| py3-lxmf-pyc-0.8.0-r0.apk | 112013 | 2025-Sep-23 21:13 |
| py3-rns-1.0.0-r0.apk | 352460 | 2025-Sep-23 21:13 |
| py3-rns-pyc-1.0.0-r0.apk | 721454 | 2025-Sep-23 21:13 |
| reaction-2.2.1-r0.apk | 1391052 | 2025-Sep-23 21:13 |
| reaction-openrc-2.2.1-r0.apk | 1873 | 2025-Sep-23 21:13 |
| reaction-tools-2.2.1-r0.apk | 6724 | 2025-Sep-23 21:13 |
| crispy-doom-7.1-r0.apk | 1892553 | 2025-Sep-24 02:49 |
| crispy-doom-doc-7.1-r0.apk | 109961 | 2025-Sep-24 02:49 |
| php85-pecl-vld-0.19.1-r1.apk | 16225 | 2025-Sep-24 13:21 |
| lomiri-download-manager-0.2.2-r0.apk | 585057 | 2025-Sep-24 16:14 |
| lomiri-download-manager-dev-0.2.2-r0.apk | 17323 | 2025-Sep-24 16:14 |
| lomiri-download-manager-lang-0.2.2-r0.apk | 30337 | 2025-Sep-24 16:14 |
| lomiri-download-manager-doc-0.2.2-r0.apk | 3568185 | 2025-Sep-24 16:14 |
| sc-controller-0.5.3-r0.apk | 1331240 | 2025-Sep-25 22:00 |
| hyprlock-0.9.1-r0.apk | 423434 | 2025-Sep-25 22:00 |
| php81-pecl-imagick-3.8.0-r1.apk | 107550 | 2025-Sep-25 22:00 |
| php81-pecl-imagick-dev-3.8.0-r1.apk | 2345 | 2025-Sep-25 22:00 |
| sc-controller-pyc-0.5.3-r0.apk | 833962 | 2025-Sep-25 22:00 |
| py3-confusable-homoglyphs-3.3.1-r0.apk | 140435 | 2025-Sep-26 12:14 |
| mdbook-alerts-0.8.0-r0.apk | 694825 | 2025-Sep-26 12:14 |
| py3-confusable-homoglyphs-pyc-3.3.1-r0.apk | 9589 | 2025-Sep-26 12:14 |
| ffms2-dev-5.0-r2.apk | 7706 | 2025-Sep-27 13:54 |
| droidcam-2.1.3-r3.apk | 19271 | 2025-Sep-27 13:54 |
| droidcam-gui-2.1.3-r3.apk | 33829 | 2025-Sep-27 13:54 |
| dsp-2.0-r2.apk | 161179 | 2025-Sep-27 13:54 |
| dsp-doc-2.0-r2.apk | 10377 | 2025-Sep-27 13:54 |
| fceux-2.6.6-r4.apk | 2975989 | 2025-Sep-27 13:54 |
| fceux-doc-2.6.6-r4.apk | 107185 | 2025-Sep-27 13:54 |
| ffms2-5.0-r2.apk | 73199 | 2025-Sep-27 13:54 |
| ffms2-doc-5.0-r2.apk | 30955 | 2025-Sep-27 13:54 |
| vcmi-1.6.8-r2.apk | 13180832 | 2025-Sep-27 13:54 |
| vice-3.9-r2.apk | 13492248 | 2025-Sep-27 13:54 |
| vice-doc-3.9-r2.apk | 2304053 | 2025-Sep-27 13:54 |
| xpar-0.7-r0.apk | 27245 | 2025-Sep-27 14:33 |
| xpar-doc-0.7-r0.apk | 4436 | 2025-Sep-27 14:33 |
| libetebase-0.5.8-r0.apk | 782289 | 2025-Sep-27 19:40 |
| libetebase-dev-0.5.8-r0.apk | 11146 | 2025-Sep-27 19:40 |
| evolution-etesync-1.1.1-r0.apk | 63796 | 2025-Sep-27 19:40 |
| evolution-etesync-lang-1.1.1-r0.apk | 19736 | 2025-Sep-27 19:40 |
| musikcube-dev-3.0.5-r0.apk | 19553 | 2025-Sep-27 21:15 |
| musikcube-plugin-all-3.0.5-r0.apk | 1371 | 2025-Sep-27 21:15 |
| musikcube-plugin-httpdatastream-3.0.5-r0.apk | 74539 | 2025-Sep-27 21:15 |
| musikcube-plugin-mpris-3.0.5-r0.apk | 20929 | 2025-Sep-27 21:15 |
| musikcube-plugin-openmpt-3.0.5-r0.apk | 29962 | 2025-Sep-27 21:15 |
| musikcube-plugin-server-3.0.5-r0.apk | 359372 | 2025-Sep-27 21:15 |
| musikcube-plugin-stockencoders-3.0.5-r0.apk | 19595 | 2025-Sep-27 21:15 |
| musikcube-plugin-supereqdsp-3.0.5-r0.apk | 25185 | 2025-Sep-27 21:15 |
| musikcube-plugin-taglibreader-3.0.5-r0.apk | 34701 | 2025-Sep-27 21:15 |
| siril-lang-1.2.6-r5.apk | 1629680 | 2025-Sep-27 21:15 |
| siril-doc-1.2.6-r5.apk | 18195 | 2025-Sep-27 21:15 |
| siril-1.2.6-r5.apk | 2830589 | 2025-Sep-27 21:15 |
| motion-4.7.1-r0.apk | 139835 | 2025-Sep-27 21:15 |
| motion-doc-4.7.1-r0.apk | 142994 | 2025-Sep-27 21:15 |
| motion-lang-4.7.1-r0.apk | 482088 | 2025-Sep-27 21:15 |
| motion-openrc-4.7.1-r0.apk | 2270 | 2025-Sep-27 21:15 |
| musikcube-3.0.5-r0.apk | 2147296 | 2025-Sep-27 21:15 |
| dodo-0_git20250926-r0.apk | 192399 | 2025-Sep-27 21:59 |
| dodo-pyc-0_git20250926-r0.apk | 90799 | 2025-Sep-27 21:59 |
| fileshelter-6.2.0-r4.apk | 315350 | 2025-Sep-27 22:22 |
| fileshelter-openrc-6.2.0-r4.apk | 1740 | 2025-Sep-27 22:22 |
| darkradiant-3.9.0-r0.apk | 9321031 | 2025-Sep-28 11:21 |
| darkradiant-doc-3.9.0-r0.apk | 2339910 | 2025-Sep-28 11:21 |
| darkradiant-lang-3.9.0-r0.apk | 38112 | 2025-Sep-28 11:21 |
| hardinfo2-2.2.13-r0.apk | 3445100 | 2025-Sep-28 11:21 |
| hardinfo2-doc-2.2.13-r0.apk | 3078 | 2025-Sep-28 11:21 |
| hardinfo2-lang-2.2.13-r0.apk | 298740 | 2025-Sep-28 11:21 |
| hardinfo2-openrc-2.2.13-r0.apk | 1998 | 2025-Sep-28 11:21 |
| font-andika-six-6.210-r0.apk | 1546030 | 2025-Sep-28 11:21 |
| ruby-build-20250925-r0.apk | 95272 | 2025-Sep-28 15:45 |
| ruby-build-doc-20250925-r0.apk | 4907 | 2025-Sep-28 15:45 |
| ruby-build-runtime-20250925-r0.apk | 1340 | 2025-Sep-28 15:45 |
| pdf2svg-0.2.4-r0.apk | 4957 | 2025-Sep-28 18:49 |
| ntpd-rs-1.6.2-r1.apk | 3872963 | 2025-Sep-28 19:44 |
| ntpd-rs-doc-1.6.2-r1.apk | 24783 | 2025-Sep-28 19:44 |
| ntpd-rs-openrc-1.6.2-r1.apk | 1957 | 2025-Sep-28 19:44 |
| oils-for-unix-doc-0.35.0-r0.apk | 7190 | 2025-Sep-28 22:29 |
| oils-for-unix-0.35.0-r0.apk | 632595 | 2025-Sep-28 22:29 |
| oils-for-unix-bash-0.35.0-r0.apk | 1720 | 2025-Sep-28 22:29 |
| oils-for-unix-binsh-0.35.0-r0.apk | 1559 | 2025-Sep-28 22:29 |
| r2ghidra-6.0.2-r0.apk | 10615442 | 2025-Sep-28 22:56 |
| php81-pecl-zephir_parser-1.8.0-r0.apk | 58671 | 2025-Sep-29 12:34 |
| php82-pecl-zephir_parser-1.8.0-r0.apk | 58684 | 2025-Sep-29 12:34 |
| py3-latex2mathml-3.78.1-r1.apk | 73773 | 2025-Sep-29 19:07 |
| py3-latex2mathml-pyc-3.78.1-r1.apk | 35646 | 2025-Sep-29 19:07 |
| mml-zsh-completion-1.0.0-r1.apk | 2847 | 2025-Sep-30 00:41 |
| mml-1.0.0-r1.apk | 837547 | 2025-Sep-30 00:41 |
| mml-bash-completion-1.0.0-r1.apk | 2311 | 2025-Sep-30 00:41 |
| mml-doc-1.0.0-r1.apk | 3885 | 2025-Sep-30 00:41 |
| mml-fish-completion-1.0.0-r1.apk | 2281 | 2025-Sep-30 00:41 |
| apostrophe-lang-3.4-r0.apk | 232270 | 2025-Sep-30 09:36 |
| apostrophe-3.4-r0.apk | 157336 | 2025-Sep-30 09:36 |
| apostrophe-pyc-3.4-r0.apk | 157045 | 2025-Sep-30 09:36 |
| way-displays-1.15.0-r0.apk | 111837 | 2025-Sep-30 09:47 |
| way-displays-doc-1.15.0-r0.apk | 4659 | 2025-Sep-30 09:47 |
| php81-pecl-mailparse-3.1.9-r0.apk | 24519 | 2025-Sep-30 12:38 |
| psi-plus-1.5.2096-r0.apk | 9292230 | 2025-Sep-30 12:50 |
| psi-plus-plugins-1.5.2096-r0.apk | 1960153 | 2025-Sep-30 12:50 |
| bacon-3.18.0-r0.apk | 1776110 | 2025-Sep-30 17:38 |
| php81-pecl-protobuf-4.32.1-r0.apk | 149504 | 2025-Oct-01 01:59 |
| perl-math-random-0.75-r0.apk | 35629 | 2025-Oct-01 04:55 |
| perl-math-random-doc-0.75-r0.apk | 11585 | 2025-Oct-01 04:55 |
| lomiri-schemas-0.1.9-r0.apk | 10663 | 2025-Oct-01 17:03 |
| compiz-lang-0.9.14.2-r12.apk | 1265535 | 2025-Oct-02 14:07 |
| compiz-utils-0.9.14.2-r12.apk | 3423 | 2025-Oct-02 14:07 |
| biometryd-0.3.2-r1.apk | 316525 | 2025-Oct-02 14:07 |
| biometryd-dev-0.3.2-r1.apk | 13290 | 2025-Oct-02 14:07 |
| compiz-0.9.14.2-r12.apk | 6220127 | 2025-Oct-02 14:07 |
| compiz-dev-0.9.14.2-r12.apk | 120000 | 2025-Oct-02 14:07 |
| compiz-pyc-0.9.14.2-r12.apk | 114200 | 2025-Oct-02 14:07 |
| sfizz-doc-1.2.3-r1.apk | 3090 | 2025-Oct-02 14:07 |
| sfizz-1.2.3-r1.apk | 1635515 | 2025-Oct-02 14:07 |
| sfizz-dev-1.2.3-r1.apk | 15097 | 2025-Oct-02 14:07 |
| pop-launcher-1.2.7-r0.apk | 2492161 | 2025-Oct-02 16:10 |
| qt-creator-17.0.2-r0.apk | 47954359 | 2025-Oct-02 16:59 |
| qt-creator-dbg-17.0.2-r0.apk | 473455756 | 2025-Oct-02 16:59 |
| wlroots0.18-static-0.18.2-r3.apk | 8576062 | 2025-Oct-02 18:35 |
| wlroots0.18-0.18.2-r3.apk | 390202 | 2025-Oct-02 18:35 |
| wlroots0.18-dbg-0.18.2-r3.apk | 1573229 | 2025-Oct-02 18:35 |
| wlroots0.18-dev-0.18.2-r3.apk | 84618 | 2025-Oct-02 18:35 |
| cargo-udeps-0.1.59-r0.apk | 4666610 | 2025-Oct-03 01:21 |
| cargo-udeps-doc-0.1.59-r0.apk | 7688 | 2025-Oct-03 01:21 |
| libre-4.1.0-r0.apk | 302854 | 2025-Oct-03 07:59 |
| baresip-4.1.0-r0.apk | 1175266 | 2025-Oct-03 07:59 |
| baresip-dev-4.1.0-r0.apk | 16392 | 2025-Oct-03 07:59 |
| libre-dev-4.1.0-r0.apk | 497472 | 2025-Oct-03 07:59 |
| xmoto-doc-0.6.3-r0.apk | 6045 | 2025-Oct-03 17:02 |
| xmoto-0.6.3-r0.apk | 1841677 | 2025-Oct-03 17:02 |
| xmoto-data-0.6.3-r0.apk | 38475775 | 2025-Oct-03 17:02 |
| xmoto-lang-0.6.3-r0.apk | 544832 | 2025-Oct-03 17:02 |
| libcotp-3.1.1-r0.apk | 9973 | 2025-Oct-04 07:13 |
| libcotp-dev-3.1.1-r0.apk | 2589 | 2025-Oct-04 07:13 |
| android-translation-layer-dbg-0_git20251006-r0.apk | 913438 | 2025-Oct-09 17:37 |
| acmetool-0.2.2-r17.apk | 4506336 | 2025-Oct-09 17:37 |
| acmetool-doc-0.2.2-r17.apk | 47791 | 2025-Oct-09 17:37 |
| adbd-0_git20251004-r0.apk | 41628 | 2025-Oct-09 17:37 |
| alpine-lift-0.2.0-r27.apk | 3667644 | 2025-Oct-09 17:37 |
| alps-0_git20230807-r16.apk | 5565665 | 2025-Oct-09 17:37 |
| alps-openrc-0_git20230807-r16.apk | 2068 | 2025-Oct-09 17:37 |
| android-translation-layer-0_git20251006-r0.apk | 2894442 | 2025-Oct-09 17:37 |
| antibody-6.1.1-r32.apk | 1816203 | 2025-Oct-09 17:37 |
| arduino-cli-1.3.1-r1.apk | 8648130 | 2025-Oct-09 17:37 |
| aports-glmr-0.2-r32.apk | 2571696 | 2025-Oct-09 17:37 |
| aprilsh-0.7.12-r9.apk | 1682 | 2025-Oct-09 17:37 |
| aprilsh-client-0.7.12-r9.apk | 3230002 | 2025-Oct-09 17:37 |
| aprilsh-doc-0.7.12-r9.apk | 14753 | 2025-Oct-09 17:37 |
| aprilsh-openrc-0.7.12-r9.apk | 1871 | 2025-Oct-09 17:37 |
| aprilsh-server-0.7.12-r9.apk | 2472219 | 2025-Oct-09 17:37 |
| apx-2.4.5-r4.apk | 3508286 | 2025-Oct-09 17:37 |
| apx-doc-2.4.5-r4.apk | 2464 | 2025-Oct-09 17:37 |
| art_standalone-0_git20251004-r0.apk | 19196874 | 2025-Oct-09 17:37 |
| art_standalone-dev-0_git20251004-r0.apk | 9034184 | 2025-Oct-09 17:37 |
| art_standalone-dbg-0_git20251004-r0.apk | 145595390 | 2025-Oct-09 17:37 |
| asdf-0.18.0-r4.apk | 1647960 | 2025-Oct-09 17:37 |
| asdf-doc-0.18.0-r4.apk | 2300 | 2025-Oct-09 17:37 |
| autorestic-1.8.3-r9.apk | 3750981 | 2025-Oct-09 17:37 |
| autoscan-1.4.0-r15.apk | 5347529 | 2025-Oct-09 17:37 |
| autoscan-openrc-1.4.0-r15.apk | 2098 | 2025-Oct-09 17:37 |
| avahi2dns-0.1.0-r5.apk | 2445545 | 2025-Oct-09 17:37 |
| avahi2dns-openrc-0.1.0-r5.apk | 1840 | 2025-Oct-09 17:37 |
| bazel8-8.4.2-r0.apk | 33375364 | 2025-Oct-09 17:37 |
| bazel8-bash-completion-8.4.2-r0.apk | 66960 | 2025-Oct-09 17:37 |
| bettercap-2.41.4-r2.apk | 19701830 | 2025-Oct-09 17:37 |
| bettercap-doc-2.41.4-r2.apk | 13917 | 2025-Oct-09 17:37 |
| biboumi-9.0-r9.apk | 270561 | 2025-Oct-09 17:37 |
| biboumi-doc-9.0-r9.apk | 1511 | 2025-Oct-09 17:37 |
| biboumi-openrc-9.0-r9.apk | 1937 | 2025-Oct-09 17:37 |
| bionic_translation-0_git20251008-r0.apk | 56422 | 2025-Oct-09 17:37 |
| bionic_translation-dbg-0_git20251008-r0.apk | 149117 | 2025-Oct-09 17:37 |
| bionic_translation-dev-0_git20251008-r0.apk | 1804 | 2025-Oct-09 17:37 |
| certbot-dns-hetzner-pyc-2.0.1-r1.apk | 6611 | 2025-Oct-09 17:37 |
| bomctl-0.1.9-r10.apk | 9212310 | 2025-Oct-09 17:37 |
| bomctl-bash-completion-0.1.9-r10.apk | 5265 | 2025-Oct-09 17:37 |
| bomctl-fish-completion-0.1.9-r10.apk | 4447 | 2025-Oct-09 17:37 |
| bomctl-zsh-completion-0.1.9-r10.apk | 4158 | 2025-Oct-09 17:37 |
| bootloose-0.7.1-r15.apk | 2201321 | 2025-Oct-09 17:37 |
| btcd-0.24.0-r12.apk | 15460858 | 2025-Oct-09 17:37 |
| butane-0.25.1-r1.apk | 3137039 | 2025-Oct-09 17:37 |
| cadence-0.9.2-r1.apk | 1556234 | 2025-Oct-09 17:37 |
| captive-browser-0_git20210801-r1.apk | 1241262 | 2025-Oct-09 17:37 |
| captive-browser-doc-0_git20210801-r1.apk | 3770 | 2025-Oct-09 17:37 |
| cargo-generate-0.23.5-r0.apk | 2230898 | 2025-Oct-09 17:37 |
| certbot-dns-hetzner-2.0.1-r1.apk | 10388 | 2025-Oct-09 17:37 |
| certigo-1.16.0-r27.apk | 3714206 | 2025-Oct-09 17:37 |
| chasquid-doc-1.16.0-r2.apk | 11128 | 2025-Oct-09 17:37 |
| certstrap-1.3.0-r28.apk | 2286032 | 2025-Oct-09 17:37 |
| cfssl-1.6.5-r9.apk | 29167410 | 2025-Oct-09 17:37 |
| chasquid-1.16.0-r2.apk | 11159223 | 2025-Oct-09 17:37 |
| chasquid-openrc-1.16.0-r2.apk | 2009 | 2025-Oct-09 17:37 |
| cilium-cli-0.16.13-r9.apk | 55135584 | 2025-Oct-09 17:37 |
| cilium-cli-bash-completion-0.16.13-r9.apk | 5186 | 2025-Oct-09 17:37 |
| cilium-cli-fish-completion-0.16.13-r9.apk | 4429 | 2025-Oct-09 17:37 |
| cilium-cli-zsh-completion-0.16.13-r9.apk | 4142 | 2025-Oct-09 17:37 |
| cloudflared-2024.12.1-r10.apk | 9264389 | 2025-Oct-09 17:37 |
| cloudflared-doc-2024.12.1-r10.apk | 1960 | 2025-Oct-09 17:37 |
| cloudflared-openrc-2024.12.1-r10.apk | 1832 | 2025-Oct-09 17:37 |
| conntracct-0.2.7-r35.apk | 5006958 | 2025-Oct-09 17:37 |
| cloudfoundry-cli-8.7.9-r13.apk | 8966255 | 2025-Oct-09 17:37 |
| consul-replicate-0.4.0-r35.apk | 2886726 | 2025-Oct-09 17:37 |
| cortex-tenant-1.15.7-r1.apk | 4144388 | 2025-Oct-09 17:37 |
| cortex-tenant-openrc-1.15.7-r1.apk | 2093 | 2025-Oct-09 17:37 |
| conntracct-openrc-0.2.7-r35.apk | 1965 | 2025-Oct-09 17:37 |
| comics-downloader-0.33.8-r14.apk | 3790591 | 2025-Oct-09 17:37 |
| comics-downloader-gui-0.33.8-r14.apk | 5582447 | 2025-Oct-09 17:37 |
| desync-0.9.6-r9.apk | 7607655 | 2025-Oct-09 17:37 |
| docker-volume-local-persist-1.3.0-r37.apk | 2530250 | 2025-Oct-09 17:37 |
| docker-volume-local-persist-openrc-1.3.0-r37.apk | 1826 | 2025-Oct-09 17:37 |
| dockerize-0.9.6-r1.apk | 3376149 | 2025-Oct-09 17:37 |
| draw-0.1.1-r17.apk | 1010269 | 2025-Oct-09 17:37 |
| dstask-0.27-r3.apk | 1558899 | 2025-Oct-09 17:37 |
| drone-cli-1.8.0-r14.apk | 5859725 | 2025-Oct-09 17:37 |
| dstask-fish-completion-0.27-r3.apk | 1715 | 2025-Oct-09 17:37 |
| devpod-0.6.15-r8.apk | 23437903 | 2025-Oct-09 17:37 |
| devpod-bash-completion-0.6.15-r8.apk | 5176 | 2025-Oct-09 17:37 |
| devpod-fish-completion-0.6.15-r8.apk | 4415 | 2025-Oct-09 17:37 |
| devpod-zsh-completion-0.6.15-r8.apk | 4131 | 2025-Oct-09 17:37 |
| dstask-bash-completion-0.27-r3.apk | 2161 | 2025-Oct-09 17:37 |
| dive-0.13.0-r6.apk | 3837164 | 2025-Oct-09 17:37 |
| dmarc-cat-0.15.0-r9.apk | 2754201 | 2025-Oct-09 17:37 |
| duf-doc-0.9.1-r1.apk | 4831 | 2025-Oct-09 17:37 |
| dstask-import-0.27-r3.apk | 3501945 | 2025-Oct-09 17:37 |
| dstask-zsh-completion-0.27-r3.apk | 1705 | 2025-Oct-09 17:37 |
| duckdb-1.4.0-r0.apk | 17217963 | 2025-Oct-09 17:37 |
| duckdb-dev-1.4.0-r0.apk | 27111964 | 2025-Oct-09 17:37 |
| duckdb-doc-1.4.0-r0.apk | 2285 | 2025-Oct-09 17:37 |
| duckdb-libs-1.4.0-r0.apk | 18580485 | 2025-Oct-09 17:37 |
| duf-0.9.1-r1.apk | 1244732 | 2025-Oct-09 17:37 |
| eccodes-2.44.0-r0.apk | 11306873 | 2025-Oct-09 17:37 |
| eiwd-3.10-r0.apk | 818254 | 2025-Oct-09 17:37 |
| eiwd-doc-3.10-r0.apk | 21008 | 2025-Oct-09 17:37 |
| eiwd-openrc-3.10-r0.apk | 1930 | 2025-Oct-09 17:37 |
| ergo-ldap-0.0.1-r21.apk | 2183414 | 2025-Oct-09 17:37 |
| ergo-ldap-doc-0.0.1-r21.apk | 2341 | 2025-Oct-09 17:37 |
| exercism-3.2.0-r17.apk | 4094632 | 2025-Oct-09 17:37 |
| exercism-bash-completion-3.2.0-r17.apk | 2008 | 2025-Oct-09 17:37 |
| exercism-fish-completion-3.2.0-r17.apk | 2421 | 2025-Oct-09 17:37 |
| exercism-zsh-completion-3.2.0-r17.apk | 2163 | 2025-Oct-09 17:37 |
| fathom-1.3.1-r17.apk | 4821963 | 2025-Oct-09 17:37 |
| firectl-0.2.0-r23.apk | 3527471 | 2025-Oct-09 17:37 |
| filebrowser-2.27.0-r15.apk | 7450215 | 2025-Oct-09 17:37 |
| filebrowser-openrc-2.27.0-r15.apk | 1866 | 2025-Oct-09 17:37 |
| gb-0.4.4-r35.apk | 7053510 | 2025-Oct-09 17:38 |
| geoclue-stumbler-1.1-r0.apk | 44282 | 2025-Oct-09 17:38 |
| geodns-3.3.0-r17.apk | 4779946 | 2025-Oct-09 17:38 |
| geodns-logs-3.3.0-r17.apk | 4368740 | 2025-Oct-09 17:38 |
| geodns-openrc-3.3.0-r17.apk | 1821 | 2025-Oct-09 17:38 |
| ghq-1.8.0-r5.apk | 3717082 | 2025-Oct-09 17:38 |
| ghq-bash-completion-1.8.0-r5.apk | 1832 | 2025-Oct-09 17:38 |
| fq-0.15.1-r2.apk | 4329107 | 2025-Oct-09 17:38 |
| fynedesk-0.4.0-r1.apk | 12930701 | 2025-Oct-09 17:38 |
| glow-2.1.1-r4.apk | 5922706 | 2025-Oct-09 17:38 |
| glow-bash-completion-2.1.1-r4.apk | 6245 | 2025-Oct-09 17:38 |
| glow-doc-2.1.1-r4.apk | 3272 | 2025-Oct-09 17:38 |
| glow-fish-completion-2.1.1-r4.apk | 4421 | 2025-Oct-09 17:38 |
| glow-zsh-completion-2.1.1-r4.apk | 4141 | 2025-Oct-09 17:38 |
| gmcapsule-0.9.8-r0.apk | 37048 | 2025-Oct-09 17:38 |
| gmcapsule-openrc-0.9.8-r0.apk | 2027 | 2025-Oct-09 17:38 |
| gmcapsule-pyc-0.9.8-r0.apk | 62243 | 2025-Oct-09 17:38 |
| go-jsonnet-0.21.0-r4.apk | 6649904 | 2025-Oct-09 17:38 |
| go-mtpfs-1.0.0-r31.apk | 1196209 | 2025-Oct-09 17:38 |
| go-passbolt-cli-0.3.2-r7.apk | 6098554 | 2025-Oct-09 17:38 |
| gobuster-3.8.0-r2.apk | 3629418 | 2025-Oct-09 17:38 |
| godini-1.0.0-r4.apk | 1491248 | 2025-Oct-09 17:38 |
| godini-doc-1.0.0-r4.apk | 15129 | 2025-Oct-09 17:38 |
| ghq-doc-1.8.0-r5.apk | 5635 | 2025-Oct-09 17:38 |
| ghq-fish-completion-1.8.0-r5.apk | 2614 | 2025-Oct-09 17:38 |
| ghq-zsh-completion-1.8.0-r5.apk | 2549 | 2025-Oct-09 17:38 |
| git-bug-0.8.1-r5.apk | 9908173 | 2025-Oct-09 17:38 |
| git-bug-bash-completion-0.8.1-r5.apk | 5401 | 2025-Oct-09 17:38 |
| git-bug-doc-0.8.1-r5.apk | 17259 | 2025-Oct-09 17:38 |
| git-bug-fish-completion-0.8.1-r5.apk | 4442 | 2025-Oct-09 17:38 |
| git-bug-zsh-completion-0.8.1-r5.apk | 4152 | 2025-Oct-09 17:38 |
| gliderlabs-sigil-0.11.0-r9.apk | 3228524 | 2025-Oct-09 17:38 |
| gliderlabs-sigil-doc-0.11.0-r9.apk | 2473 | 2025-Oct-09 17:38 |
| goreman-0.3.15-r17.apk | 2461175 | 2025-Oct-09 17:38 |
| gotify-2.7.2-r1.apk | 10758523 | 2025-Oct-09 17:38 |
| gotify-cli-2.3.2-r9.apk | 4235566 | 2025-Oct-09 17:38 |
| gotify-openrc-2.7.2-r1.apk | 2047 | 2025-Oct-09 17:38 |
| grpcui-1.5.1-r3.apk | 8536858 | 2025-Oct-09 17:38 |
| grpcurl-1.9.3-r7.apk | 8110897 | 2025-Oct-09 17:38 |
| gx-0.14.3-r34.apk | 4688734 | 2025-Oct-09 17:38 |
| gx-doc-0.14.3-r34.apk | 2329 | 2025-Oct-09 17:38 |
| gx-go-1.9.0-r36.apk | 4942115 | 2025-Oct-09 17:38 |
| gx-go-doc-1.9.0-r36.apk | 2321 | 2025-Oct-09 17:38 |
| gyosu-0.2.0-r2.apk | 1893329 | 2025-Oct-09 17:38 |
| heisenbridge-1.15.4-r0.apk | 68835 | 2025-Oct-09 17:38 |
| gomp-1.0.0-r16.apk | 3586364 | 2025-Oct-09 17:38 |
| heisenbridge-pyc-1.15.4-r0.apk | 158676 | 2025-Oct-09 17:38 |
| idevicerestore-1.0.0_git20250914-r0.apk | 100955 | 2025-Oct-09 17:38 |
| hilbish-2.3.4-r9.apk | 3533866 | 2025-Oct-09 17:38 |
| hilbish-doc-2.3.4-r9.apk | 25532 | 2025-Oct-09 17:38 |
| hub-2.14.2-r35.apk | 2859390 | 2025-Oct-09 17:38 |
| hub-bash-completion-2.14.2-r35.apk | 4699 | 2025-Oct-09 17:38 |
| hub-doc-2.14.2-r35.apk | 43035 | 2025-Oct-09 17:38 |
| hub-fish-completion-2.14.2-r35.apk | 3387 | 2025-Oct-09 17:38 |
| hub-zsh-completion-2.14.2-r35.apk | 3797 | 2025-Oct-09 17:38 |
| hubble-cli-0.13.6-r9.apk | 17303747 | 2025-Oct-09 17:38 |
| hubble-cli-bash-completion-0.13.6-r9.apk | 5191 | 2025-Oct-09 17:38 |
| hubble-cli-fish-completion-0.13.6-r9.apk | 4438 | 2025-Oct-09 17:38 |
| hubble-cli-zsh-completion-0.13.6-r9.apk | 4148 | 2025-Oct-09 17:38 |
| hyprsunset-0.3.3-r0.apk | 137305 | 2025-Oct-09 17:38 |
| hyprsunset-doc-0.3.3-r0.apk | 2483 | 2025-Oct-09 17:38 |
| hyprsunset-openrc-0.3.3-r0.apk | 1794 | 2025-Oct-09 17:38 |
| idevicerestore-doc-1.0.0_git20250914-r0.apk | 3495 | 2025-Oct-09 17:38 |
| ijq-1.2.0-r1.apk | 1448353 | 2025-Oct-09 17:38 |
| ijq-doc-1.2.0-r1.apk | 3673 | 2025-Oct-09 17:38 |
| imgdiff-1.0.2-r30.apk | 1011460 | 2025-Oct-09 17:38 |
| imgdiff-doc-1.0.2-r30.apk | 2311 | 2025-Oct-09 17:38 |
| invidtui-0.4.6-r9.apk | 4037158 | 2025-Oct-09 17:38 |
| ipp-usb-0.9.30-r4.apk | 2482112 | 2025-Oct-09 17:38 |
| ipp-usb-doc-0.9.30-r4.apk | 9031 | 2025-Oct-09 17:38 |
| ipp-usb-openrc-0.9.30-r4.apk | 1768 | 2025-Oct-09 17:38 |
| ircdog-0.5.4-r9.apk | 2428504 | 2025-Oct-09 17:38 |
| jackal-0.64.0-r19.apk | 11839092 | 2025-Oct-09 17:38 |
| jackal-openrc-0.64.0-r19.apk | 1881 | 2025-Oct-09 17:38 |
| jfrog-cli-2.45.0-r16.apk | 9171947 | 2025-Oct-09 17:38 |
| jsonnet-bundler-0.6.0-r9.apk | 3230437 | 2025-Oct-09 17:38 |
| kompose-1.31.2-r14.apk | 7028400 | 2025-Oct-09 17:38 |
| k3sup-0.13.6-r9.apk | 2678251 | 2025-Oct-09 17:38 |
| k3sup-bash-completion-0.13.6-r9.apk | 5139 | 2025-Oct-09 17:38 |
| k3sup-fish-completion-0.13.6-r9.apk | 4378 | 2025-Oct-09 17:38 |
| k3sup-zsh-completion-0.13.6-r9.apk | 4090 | 2025-Oct-09 17:38 |
| kapow-0.7.1-r17.apk | 3570624 | 2025-Oct-09 17:38 |
| katana-1.2.2-r2.apk | 13904867 | 2025-Oct-09 17:38 |
| katana-doc-1.2.2-r2.apk | 2311 | 2025-Oct-09 17:38 |
| keybase-client-6.2.8-r14.apk | 18243215 | 2025-Oct-09 17:38 |
| khinsider-2.0.7-r24.apk | 3466621 | 2025-Oct-09 17:38 |
| kine-0.10.1-r17.apk | 7799537 | 2025-Oct-09 17:38 |
| kompose-fish-completion-1.31.2-r14.apk | 4478 | 2025-Oct-09 17:38 |
| kine-doc-0.10.1-r17.apk | 5272 | 2025-Oct-09 17:38 |
| kismet-0.202509.1-r0.apk | 12854500 | 2025-Oct-09 17:38 |
| kismet-linux-bluetooth-0.202509.1-r0.apk | 47313 | 2025-Oct-09 17:38 |
| kompose-zsh-completion-1.31.2-r14.apk | 6941 | 2025-Oct-09 17:38 |
| kismet-linux-wifi-0.202509.1-r0.apk | 65958 | 2025-Oct-09 17:38 |
| kismet-logtools-0.202509.1-r0.apk | 1159044 | 2025-Oct-09 17:38 |
| kismet-nrf-51822-0.202509.1-r0.apk | 45406 | 2025-Oct-09 17:38 |
| kismet-nxp-kw41z-0.202509.1-r0.apk | 46913 | 2025-Oct-09 17:38 |
| ko-0.17.1-r9.apk | 10233348 | 2025-Oct-09 17:38 |
| ko-bash-completion-0.17.1-r9.apk | 5162 | 2025-Oct-09 17:38 |
| ko-fish-completion-0.17.1-r9.apk | 4397 | 2025-Oct-09 17:38 |
| ko-zsh-completion-0.17.1-r9.apk | 4112 | 2025-Oct-09 17:38 |
| kompose-bash-completion-1.31.2-r14.apk | 5728 | 2025-Oct-09 17:38 |
| kubepug-bash-completion-1.7.1-r14.apk | 5249 | 2025-Oct-09 17:38 |
| kopia-0.21.1-r3.apk | 15867919 | 2025-Oct-09 17:38 |
| kopia-bash-completion-0.21.1-r3.apk | 1803 | 2025-Oct-09 17:38 |
| kopia-zsh-completion-0.21.1-r3.apk | 1829 | 2025-Oct-09 17:38 |
| kube-no-trouble-0.7.3-r9.apk | 13107760 | 2025-Oct-09 17:38 |
| kubeconform-0.7.0-r2.apk | 3620296 | 2025-Oct-09 17:38 |
| kubectl-krew-0.4.5-r6.apk | 4554452 | 2025-Oct-09 17:38 |
| kubepug-1.7.1-r14.apk | 16114385 | 2025-Oct-09 17:38 |
| kubepug-fish-completion-1.7.1-r14.apk | 4434 | 2025-Oct-09 17:38 |
| kubepug-zsh-completion-1.7.1-r14.apk | 4147 | 2025-Oct-09 17:38 |
| kubeseal-0.32.1-r1.apk | 11066180 | 2025-Oct-09 17:38 |
| kubeseal-doc-0.32.1-r1.apk | 5661 | 2025-Oct-09 17:38 |
| libtatsu-dev-1.0.5-r0.apk | 22457 | 2025-Oct-09 17:38 |
| kuma-cp-2.10.1-r5.apk | 28275709 | 2025-Oct-09 17:38 |
| kuma-cp-openrc-2.10.1-r5.apk | 2206 | 2025-Oct-09 17:38 |
| kuma-dp-2.10.1-r5.apk | 22695827 | 2025-Oct-09 17:38 |
| kuma-dp-openrc-2.10.1-r5.apk | 2189 | 2025-Oct-09 17:38 |
| kumactl-2.10.1-r5.apk | 25455556 | 2025-Oct-09 17:38 |
| kumactl-bash-completion-2.10.1-r5.apk | 10528 | 2025-Oct-09 17:38 |
| kumactl-fish-completion-2.10.1-r5.apk | 4424 | 2025-Oct-09 17:38 |
| kumactl-zsh-completion-2.10.1-r5.apk | 4139 | 2025-Oct-09 17:38 |
| legume-1.4.2-r13.apk | 1510275 | 2025-Oct-09 17:38 |
| legume-doc-1.4.2-r13.apk | 12617 | 2025-Oct-09 17:38 |
| libandroidfw-0_git20251004-r0.apk | 373991 | 2025-Oct-09 17:38 |
| libandroidfw-dev-0_git20251004-r0.apk | 7315 | 2025-Oct-09 17:38 |
| libemf2svg-1.1.0-r3.apk | 157210 | 2025-Oct-09 17:38 |
| libemf2svg-utils-1.1.0-r3.apk | 18158 | 2025-Oct-09 17:38 |
| libirecovery-1.3.0-r0.apk | 25403 | 2025-Oct-09 17:38 |
| libirecovery-dev-1.3.0-r0.apk | 4256 | 2025-Oct-09 17:38 |
| libirecovery-progs-1.3.0-r0.apk | 8721 | 2025-Oct-09 17:38 |
| libtatsu-1.0.5-r0.apk | 16787 | 2025-Oct-09 17:38 |
| linkquisition-1.6.1-r9.apk | 12331039 | 2025-Oct-09 17:38 |
| lxd-feature-openrc-5.20-r15.apk | 2479 | 2025-Oct-09 17:38 |
| lxd-feature-5.20-r15.apk | 65958624 | 2025-Oct-09 17:38 |
| lxd-feature-bash-completion-5.20-r15.apk | 5250 | 2025-Oct-09 17:38 |
| lxd-feature-doc-5.20-r15.apk | 1712 | 2025-Oct-09 17:38 |
| lxd-feature-scripts-5.20-r15.apk | 2182 | 2025-Oct-09 17:38 |
| mobroute-0.10.0-r4.apk | 4559932 | 2025-Oct-09 17:38 |
| maildir2rss-0.0.7-r9.apk | 3614236 | 2025-Oct-09 17:38 |
| mailsec-check-0_git20210729-r30.apk | 2599656 | 2025-Oct-09 17:38 |
| makeclapman-2.4.4-r9.apk | 1352135 | 2025-Oct-09 17:38 |
| makeclapman-doc-2.4.4-r9.apk | 4254 | 2025-Oct-09 17:38 |
| mangal-4.0.6-r22.apk | 10346131 | 2025-Oct-09 17:38 |
| mangal-bash-completion-4.0.6-r22.apk | 5111 | 2025-Oct-09 17:38 |
| mangal-fish-completion-4.0.6-r22.apk | 4016 | 2025-Oct-09 17:38 |
| mangal-zsh-completion-4.0.6-r22.apk | 4094 | 2025-Oct-09 17:38 |
| manifest-tool-2.2.0-r5.apk | 3928465 | 2025-Oct-09 17:38 |
| mimedefang-3.6-r1.apk | 161397 | 2025-Oct-09 17:38 |
| mimedefang-doc-3.6-r1.apk | 82992 | 2025-Oct-09 17:38 |
| mkcert-1.4.4-r23.apk | 1771733 | 2025-Oct-09 17:38 |
| mkdotenv-0.4.9-r1.apk | 922072 | 2025-Oct-09 17:38 |
| mobroute-doc-0.10.0-r4.apk | 1401647 | 2025-Oct-09 17:38 |
| nom-2.8.0-r7.apk | 6920575 | 2025-Oct-09 17:38 |
| mods-1.8.1-r2.apk | 10227891 | 2025-Oct-09 17:38 |
| mods-doc-1.8.1-r2.apk | 2308 | 2025-Oct-09 17:38 |
| mqtt2prometheus-0.1.7-r20.apk | 4430750 | 2025-Oct-09 17:38 |
| msh-2.5.0-r16.apk | 2905941 | 2025-Oct-09 17:38 |
| msh-openrc-2.5.0-r16.apk | 2039 | 2025-Oct-09 17:38 |
| mtg-2.1.7-r25.apk | 4495418 | 2025-Oct-09 17:38 |
| mtg-openrc-2.1.7-r25.apk | 1912 | 2025-Oct-09 17:38 |
| net-predictable-1.5.1-r4.apk | 941328 | 2025-Oct-09 17:38 |
| net-predictable-doc-1.5.1-r4.apk | 2295 | 2025-Oct-09 17:38 |
| noggin-0.1-r22.apk | 1397091 | 2025-Oct-09 17:38 |
| noggin-doc-0.1-r22.apk | 2987 | 2025-Oct-09 17:38 |
| nom-doc-2.8.0-r7.apk | 4118 | 2025-Oct-09 17:38 |
| nuclei-3.4.10-r2.apk | 28967120 | 2025-Oct-09 17:38 |
| nuclei-doc-3.4.10-r2.apk | 2328 | 2025-Oct-09 17:38 |
| nwg-bar-0.1.6-r14.apk | 1596923 | 2025-Oct-09 17:38 |
| nwg-dock-0.4.3-r4.apk | 1749308 | 2025-Oct-09 17:38 |
| nwg-menu-0.1.9-r3.apk | 1701729 | 2025-Oct-09 17:38 |
| nwg-menu-doc-0.1.9-r3.apk | 2313 | 2025-Oct-09 17:38 |
| oauth2-proxy-7.11.0-r3.apk | 8597660 | 2025-Oct-09 17:38 |
| oauth2-proxy-openrc-7.11.0-r3.apk | 2162 | 2025-Oct-09 17:38 |
| php81-spx-0.4.21-r0.apk | 109516 | 2025-Oct-09 17:38 |
| opa-1.6.0-r3.apk | 10197171 | 2025-Oct-09 17:38 |
| opa-bash-completion-1.6.0-r3.apk | 6242 | 2025-Oct-09 17:38 |
| opa-doc-1.6.0-r3.apk | 23469 | 2025-Oct-09 17:38 |
| opa-fish-completion-1.6.0-r3.apk | 4419 | 2025-Oct-09 17:38 |
| opa-zsh-completion-1.6.0-r3.apk | 4137 | 2025-Oct-09 17:38 |
| otf-0.4.1-r1.apk | 1298 | 2025-Oct-09 17:38 |
| otf-agent-0.4.1-r1.apk | 8258521 | 2025-Oct-09 17:38 |
| otf-agent-openrc-0.4.1-r1.apk | 2038 | 2025-Oct-09 17:38 |
| otf-cli-0.4.1-r1.apk | 8111159 | 2025-Oct-09 17:38 |
| otf-server-0.4.1-r1.apk | 12926961 | 2025-Oct-09 17:38 |
| otf-server-openrc-0.4.1-r1.apk | 2040 | 2025-Oct-09 17:38 |
| pathvector-6.3.2-r17.apk | 3952541 | 2025-Oct-09 17:38 |
| perl-astro-coords-0.23-r0.apk | 53846 | 2025-Oct-09 17:38 |
| perl-astro-coords-doc-0.23-r0.apk | 41311 | 2025-Oct-09 17:38 |
| php81-pecl-mongodb-2.1.4-r0.apk | 838526 | 2025-Oct-09 17:38 |
| php81-pecl-opentelemetry-1.2.1-r0.apk | 13633 | 2025-Oct-09 17:38 |
| pwru-1.0.7-r9.apk | 3333068 | 2025-Oct-09 17:38 |
| prometheus-smtp2go-exporter-0.1.1-r3.apk | 3431215 | 2025-Oct-09 17:38 |
| prometheus-smtp2go-exporter-openrc-0.1.1-r3.apk | 2022 | 2025-Oct-09 17:38 |
| protoconf-0.1.7-r17.apk | 7586187 | 2025-Oct-09 17:38 |
| pspp-2.0.1-r1.apk | 10183156 | 2025-Oct-09 17:38 |
| pspp-dbg-2.0.1-r1.apk | 4497352 | 2025-Oct-09 17:38 |
| pspp-doc-2.0.1-r1.apk | 9209 | 2025-Oct-09 17:38 |
| pug-0.6.2-r3.apk | 4090736 | 2025-Oct-09 17:38 |
| pomo-0.8.1-r27.apk | 1673626 | 2025-Oct-09 17:38 |
| pomo-doc-0.8.1-r27.apk | 2799 | 2025-Oct-09 17:38 |
| popeye-0.22.1-r8.apk | 27625742 | 2025-Oct-09 17:38 |
| prometheus-ceph-exporter-4.2.5-r8.apk | 3589289 | 2025-Oct-09 17:38 |
| prometheus-ceph-exporter-openrc-4.2.5-r8.apk | 1944 | 2025-Oct-09 17:38 |
| prometheus-opnsense-exporter-0.0.11-r1.apk | 4784958 | 2025-Oct-09 17:38 |
| prometheus-opnsense-exporter-openrc-0.0.11-r1.apk | 2146 | 2025-Oct-09 17:38 |
| prometheus-podman-exporter-1.18.1-r1.apk | 14775118 | 2025-Oct-09 17:38 |
| prometheus-rethinkdb-exporter-1.0.1-r32.apk | 4182514 | 2025-Oct-09 17:38 |
| prometheus-rethinkdb-exporter-openrc-1.0.1-r32.apk | 1707 | 2025-Oct-09 17:38 |
| prometheus-smartctl-exporter-0.14.0-r4.apk | 4639759 | 2025-Oct-09 17:38 |
| prometheus-smartctl-exporter-openrc-0.14.0-r4.apk | 1936 | 2025-Oct-09 17:38 |
| py3-irc-pyc-20.4.1-r1.apk | 71961 | 2025-Oct-09 17:38 |
| qadwaitadecorations-0.1.7-r1.apk | 48533 | 2025-Oct-09 17:38 |
| pyonji-0.1.0-r10.apk | 2870273 | 2025-Oct-09 17:38 |
| qbittorrent-cli-2.2.0-r4.apk | 5630016 | 2025-Oct-09 17:38 |
| py3-irc-20.4.1-r1.apk | 41038 | 2025-Oct-09 17:38 |
| py3-duckdb-pyc-1.4.0-r0.apk | 152796 | 2025-Oct-09 17:38 |
| py3-duckdb-1.4.0-r0.apk | 19768565 | 2025-Oct-09 17:38 |
| remco-0.12.5-r4.apk | 9606801 | 2025-Oct-09 17:38 |
| reg-0.16.1-r32.apk | 4617973 | 2025-Oct-09 17:38 |
| remco-doc-0.12.5-r4.apk | 2312 | 2025-Oct-09 17:38 |
| remco-openrc-0.12.5-r4.apk | 1740 | 2025-Oct-09 17:38 |
| rivercarro-0.6.0-r0.apk | 148859 | 2025-Oct-09 17:38 |
| rivercarro-doc-0.6.0-r0.apk | 3176 | 2025-Oct-09 17:38 |
| rke-1.4.3-r19.apk | 19736983 | 2025-Oct-09 17:38 |
| rke-doc-1.4.3-r19.apk | 3027 | 2025-Oct-09 17:38 |
| ruuvi-prometheus-0.1.9-r6.apk | 3329703 | 2025-Oct-09 17:38 |
| ruuvi-prometheus-openrc-0.1.9-r6.apk | 1711 | 2025-Oct-09 17:38 |
| squeak-vm-doc-4.10.2.2614-r2.apk | 12640 | 2025-Oct-09 17:38 |
| sq-doc-0.48.5-r9.apk | 6026 | 2025-Oct-09 17:38 |
| sq-fish-completion-0.48.5-r9.apk | 4394 | 2025-Oct-09 17:38 |
| sq-zsh-completion-0.48.5-r9.apk | 4115 | 2025-Oct-09 17:38 |
| squeak-vm-4.10.2.2614-r2.apk | 615670 | 2025-Oct-09 17:38 |
| sshsrv-1.0-r16.apk | 1007621 | 2025-Oct-09 17:38 |
| s5cmd-2.3.0-r7.apk | 5007230 | 2025-Oct-09 17:38 |
| satellite-1.0.0-r32.apk | 2209934 | 2025-Oct-09 17:38 |
| satellite-doc-1.0.0-r32.apk | 3087 | 2025-Oct-09 17:38 |
| satellite-openrc-1.0.0-r32.apk | 1946 | 2025-Oct-09 17:38 |
| scalingo-1.30.0-r14.apk | 5348098 | 2025-Oct-09 17:38 |
| seaweedfs-3.93-r3.apk | 25702432 | 2025-Oct-09 17:38 |
| seaweedfs-doc-3.93-r3.apk | 14090 | 2025-Oct-09 17:38 |
| seaweedfs-openrc-3.93-r3.apk | 1956 | 2025-Oct-09 17:38 |
| secsipidx-1.3.2-r16.apk | 2744865 | 2025-Oct-09 17:38 |
| secsipidx-dev-1.3.2-r16.apk | 5507411 | 2025-Oct-09 17:38 |
| secsipidx-libs-1.3.2-r16.apk | 2448456 | 2025-Oct-09 17:38 |
| sipexer-1.2.0-r7.apk | 2797483 | 2025-Oct-09 17:38 |
| sish-2.16.1-r9.apk | 8074642 | 2025-Oct-09 17:38 |
| sish-openrc-2.16.1-r9.apk | 1956 | 2025-Oct-09 17:38 |
| snapweb-0.9.2-r0.apk | 487555 | 2025-Oct-09 17:38 |
| snippets-ls-0.0.4_git20240617-r9.apk | 1352597 | 2025-Oct-09 17:38 |
| sonicradio-0.8.4-r1.apk | 3210452 | 2025-Oct-09 17:38 |
| sos-0.8-r35.apk | 2734615 | 2025-Oct-09 17:38 |
| spacectl-1.12.0-r5.apk | 5712622 | 2025-Oct-09 17:38 |
| spacectl-bash-completion-1.12.0-r5.apk | 2100 | 2025-Oct-09 17:38 |
| spacectl-doc-1.12.0-r5.apk | 2338 | 2025-Oct-09 17:38 |
| spacectl-fish-completion-1.12.0-r5.apk | 7228 | 2025-Oct-09 17:38 |
| spacectl-zsh-completion-1.12.0-r5.apk | 1851 | 2025-Oct-09 17:38 |
| speedtest-go-1.1.5-r19.apk | 5484399 | 2025-Oct-09 17:38 |
| speedtest-go-doc-1.1.5-r19.apk | 4618 | 2025-Oct-09 17:38 |
| speedtest-go-openrc-1.1.5-r19.apk | 1773 | 2025-Oct-09 17:38 |
| speedtest_exporter-0.3.2-r19.apk | 3896579 | 2025-Oct-09 17:38 |
| speedtest_exporter-openrc-0.3.2-r19.apk | 1901 | 2025-Oct-09 17:38 |
| sq-0.48.5-r9.apk | 10212633 | 2025-Oct-09 17:38 |
| sq-bash-completion-0.48.5-r9.apk | 5163 | 2025-Oct-09 17:38 |
| taskcafe-openrc-0.3.6-r17.apk | 1864 | 2025-Oct-09 17:38 |
| taskcafe-0.3.6-r17.apk | 13836590 | 2025-Oct-09 17:38 |
| tangctl-0_git20241007-r8.apk | 2609115 | 2025-Oct-09 17:38 |
| stayrtr-openrc-0.6.2-r8.apk | 2020 | 2025-Oct-09 17:38 |
| stayrtr-0.6.2-r8.apk | 10609024 | 2025-Oct-09 17:38 |
| thanos-openrc-0.31.0-r17.apk | 1992 | 2025-Oct-09 17:38 |
| tetragon-client-1.1.2-r9.apk | 19698246 | 2025-Oct-09 17:38 |
| tetragon-client-bash-completion-1.1.2-r9.apk | 5193 | 2025-Oct-09 17:38 |
| tetragon-client-fish-completion-1.1.2-r9.apk | 4431 | 2025-Oct-09 17:38 |
| tetragon-client-zsh-completion-1.1.2-r9.apk | 4148 | 2025-Oct-09 17:38 |
| tfupdate-0.8.2-r10.apk | 4817052 | 2025-Oct-09 17:38 |
| tfupdate-doc-0.8.2-r10.apk | 2322 | 2025-Oct-09 17:38 |
| thanos-0.31.0-r17.apk | 20878533 | 2025-Oct-09 17:38 |
| tick-1.2.3-r0.apk | 11417 | 2025-Oct-09 17:38 |
| tick-doc-1.2.3-r0.apk | 5645 | 2025-Oct-09 17:38 |
| tofutf-server-openrc-0.10.0-r9.apk | 2051 | 2025-Oct-09 17:38 |
| tree-sitter-gleam-1.1.0-r0.apk | 65200 | 2025-Oct-09 17:38 |
| transito-doc-0.9.1-r12.apk | 773128 | 2025-Oct-09 17:38 |
| transito-0.9.1-r12.apk | 8346957 | 2025-Oct-09 17:38 |
| tqm-1.17.0-r1.apk | 4595345 | 2025-Oct-09 17:38 |
| tonutils-reverse-proxy-doc-0.4.6-r3.apk | 3311 | 2025-Oct-09 17:38 |
| timoni-0.23.0-r9.apk | 23206028 | 2025-Oct-09 17:38 |
| timoni-bash-completion-0.23.0-r9.apk | 8142 | 2025-Oct-09 17:38 |
| timoni-doc-0.23.0-r9.apk | 346046 | 2025-Oct-09 17:38 |
| timoni-fish-completion-0.23.0-r9.apk | 4422 | 2025-Oct-09 17:38 |
| timoni-zsh-completion-0.23.0-r9.apk | 4137 | 2025-Oct-09 17:38 |
| tmpl-0.4.0-r15.apk | 2665768 | 2025-Oct-09 17:38 |
| tmpl-doc-0.4.0-r15.apk | 2309 | 2025-Oct-09 17:38 |
| tofu-ls-0.0.9-r2.apk | 5713234 | 2025-Oct-09 17:38 |
| tofu-ls-doc-0.0.9-r2.apk | 35948 | 2025-Oct-09 17:38 |
| tofutf-0.10.0-r9.apk | 1295 | 2025-Oct-09 17:38 |
| tofutf-agent-0.10.0-r9.apk | 9065516 | 2025-Oct-09 17:38 |
| tofutf-agent-openrc-0.10.0-r9.apk | 2037 | 2025-Oct-09 17:38 |
| tofutf-cli-0.10.0-r9.apk | 8373415 | 2025-Oct-09 17:38 |
| tofutf-server-0.10.0-r9.apk | 13246901 | 2025-Oct-09 17:38 |
| tonutils-reverse-proxy-0.4.6-r3.apk | 4338168 | 2025-Oct-09 17:38 |
| undock-0.10.0-r4.apk | 10042380 | 2025-Oct-09 17:38 |
| tty-proxy-0.0.2-r32.apk | 2578006 | 2025-Oct-09 17:38 |
| tty-share-2.4.0-r22.apk | 3742824 | 2025-Oct-09 17:38 |
| up-0.4-r33.apk | 1222181 | 2025-Oct-09 17:38 |
| virtualgl-doc-3.1.4-r0.apk | 322221 | 2025-Oct-09 17:38 |
| webhookd-doc-1.20.2-r4.apk | 2312 | 2025-Oct-09 17:38 |
| webhookd-openrc-1.20.2-r4.apk | 2306 | 2025-Oct-09 17:38 |
| webhookd-1.20.2-r4.apk | 3253697 | 2025-Oct-09 17:38 |
| wazero-1.9.0-r6.apk | 2041366 | 2025-Oct-09 17:38 |
| walk-doc-1.13.0-r7.apk | 2285 | 2025-Oct-09 17:38 |
| vector-0.50.0-r0.apk | 24029311 | 2025-Oct-09 17:38 |
| vector-doc-0.50.0-r0.apk | 5939 | 2025-Oct-09 17:38 |
| vector-openrc-0.50.0-r0.apk | 2238 | 2025-Oct-09 17:38 |
| vile-9.8z_p1-r2.apk | 767172 | 2025-Oct-09 17:38 |
| vile-common-9.8z_p1-r2.apk | 370617 | 2025-Oct-09 17:38 |
| vile-doc-9.8z_p1-r2.apk | 365755 | 2025-Oct-09 17:38 |
| virter-0.29.0-r4.apk | 5761972 | 2025-Oct-09 17:38 |
| virter-bash-completion-0.29.0-r4.apk | 6251 | 2025-Oct-09 17:38 |
| virter-doc-0.29.0-r4.apk | 15237 | 2025-Oct-09 17:38 |
| virter-fish-completion-0.29.0-r4.apk | 4430 | 2025-Oct-09 17:38 |
| virter-zsh-completion-0.29.0-r4.apk | 4150 | 2025-Oct-09 17:38 |
| virtualgl-3.1.4-r0.apk | 1862917 | 2025-Oct-09 17:38 |
| virtualgl-dev-3.1.4-r0.apk | 6265 | 2025-Oct-09 17:38 |
| walk-1.13.0-r7.apk | 3095885 | 2025-Oct-09 17:38 |
| wl-gammarelay-0.1.3-r3.apk | 1672908 | 2025-Oct-09 17:38 |
| webtunnel-0.0.2-r5.apk | 3665608 | 2025-Oct-09 17:38 |
| yubikey-agent-0.1.6-r16.apk | 1892412 | 2025-Oct-09 17:38 |
| ytt-0.52.1-r1.apk | 4564750 | 2025-Oct-09 17:38 |
| yaml-language-server-1.19.2-r0.apk | 682036 | 2025-Oct-09 17:38 |
| yaegi-0.16.1-r12.apk | 7173654 | 2025-Oct-09 17:38 |
| xvile-9.8z_p1-r2.apk | 792754 | 2025-Oct-09 17:38 |
| xmpp-dns-0.2.4-r28.apk | 1887925 | 2025-Oct-09 17:38 |
| wtfutil-0.43.0-r17.apk | 19037543 | 2025-Oct-09 17:38 |
| yamldiff-0.3.0-r2.apk | 1664045 | 2025-Oct-09 17:38 |
| yaml-language-server-doc-1.19.2-r0.apk | 2337 | 2025-Oct-09 17:38 |
| yamldiff-doc-0.3.0-r2.apk | 2301 | 2025-Oct-09 17:38 |
| zarf-0.32.1-r14.apk | 54205431 | 2025-Oct-09 17:38 |
| zot-cli-2.1.8-r1.apk | 10188318 | 2025-Oct-09 17:38 |
| zot-2.1.8-r1.apk | 75784143 | 2025-Oct-09 17:38 |
| zot-cli-bash-completion-2.1.8-r1.apk | 6242 | 2025-Oct-09 17:38 |
| zot-cli-fish-completion-2.1.8-r1.apk | 4415 | 2025-Oct-09 17:38 |
| zot-cli-zsh-completion-2.1.8-r1.apk | 4134 | 2025-Oct-09 17:38 |
| zot-doc-2.1.8-r1.apk | 9632 | 2025-Oct-09 17:38 |
| zot-exporter-2.1.8-r1.apk | 3925400 | 2025-Oct-09 17:38 |
| zot-openrc-2.1.8-r1.apk | 2050 | 2025-Oct-09 17:38 |
| zpaq-7.15-r0.apk | 170152 | 2025-Oct-09 17:38 |
| zpaq-doc-7.15-r0.apk | 16663 | 2025-Oct-09 17:38 |
| zrepl-0.6.1-r15.apk | 6743097 | 2025-Oct-09 17:38 |
| zrepl-bash-completion-0.6.1-r15.apk | 4574 | 2025-Oct-09 17:38 |
| zrepl-openrc-0.6.1-r15.apk | 1764 | 2025-Oct-09 17:38 |
| zrepl-zsh-completion-0.6.1-r15.apk | 1994 | 2025-Oct-09 17:38 |
| php81-pecl-oauth-2.0.10-r0.apk | 36364 | 2025-Oct-09 18:37 |
| php82-pecl-oauth-2.0.10-r0.apk | 36651 | 2025-Oct-09 18:37 |
| php83-pecl-oauth-2.0.10-r0.apk | 36676 | 2025-Oct-09 18:37 |
| php84-pecl-oauth-2.0.10-r0.apk | 36711 | 2025-Oct-09 18:37 |
| php85-pecl-oauth-2.0.10-r0.apk | 36383 | 2025-Oct-09 18:37 |
| cdogs-sdl-2.3.2-r0.apk | 29296989 | 2025-Oct-09 18:46 |
| cargo-geiger-0.13.0-r0.apk | 5141670 | 2025-Oct-10 17:32 |
| cargo-geiger-doc-0.13.0-r0.apk | 7863 | 2025-Oct-10 17:32 |
| py3-nikola-doc-8.3.3-r0.apk | 62737 | 2025-Oct-11 10:49 |
| py3-nikola-8.3.3-r0.apk | 4549187 | 2025-Oct-11 10:49 |
| py3-nikola-pyc-8.3.3-r0.apk | 558488 | 2025-Oct-11 10:49 |
| py3-sphinx-autoapi-3.6.1-r0.apk | 32088 | 2025-Oct-11 10:59 |
| py3-sphinx-autoapi-pyc-3.6.1-r0.apk | 60333 | 2025-Oct-11 10:59 |
| quark-0.5-r0.apk | 1438937 | 2025-Oct-11 16:43 |
| fluent-bit-4.1.1-r0.apk | 8026322 | 2025-Oct-11 16:43 |
| fluent-bit-dev-4.1.1-r0.apk | 141908 | 2025-Oct-11 16:43 |
| fluent-bit-openrc-4.1.1-r0.apk | 1747 | 2025-Oct-11 16:43 |
| quark-doc-0.5-r0.apk | 4519 | 2025-Oct-11 16:43 |
| smplxmpp-doc-0.9.3-r5.apk | 25429 | 2025-Oct-12 12:09 |
| gr-satellites-5.5.0-r6.apk | 502284 | 2025-Oct-12 12:09 |
| gr-satellites-dev-5.5.0-r6.apk | 13026 | 2025-Oct-12 12:09 |
| gr-satellites-doc-5.5.0-r6.apk | 4626 | 2025-Oct-12 12:09 |
| smplxmpp-0.9.3-r5.apk | 148300 | 2025-Oct-12 12:09 |
| oppa-1.1.0-r0.apk | 506921 | 2025-Oct-12 13:21 |
| py3-pytest-regtest-pyc-2.3.5-r0.apk | 30980 | 2025-Oct-12 13:32 |
| py3-pytest-regtest-2.3.5-r0.apk | 16452 | 2025-Oct-12 13:32 |
| apt-swarm-zsh-completion-0.5.1-r1.apk | 6017 | 2025-Oct-12 13:43 |
| apt-swarm-0.5.1-r1.apk | 2961749 | 2025-Oct-12 13:43 |
| apt-swarm-bash-completion-0.5.1-r1.apk | 4287 | 2025-Oct-12 13:43 |
| apt-swarm-fish-completion-0.5.1-r1.apk | 5878 | 2025-Oct-12 13:43 |
| apt-swarm-openrc-0.5.1-r1.apk | 1998 | 2025-Oct-12 13:43 |
| interception-tools-0.6.8-r3.apk | 103779 | 2025-Oct-12 14:19 |
| interception-tools-openrc-0.6.8-r3.apk | 1733 | 2025-Oct-12 14:19 |
| vera++-1.3.0-r11.apk | 189002 | 2025-Oct-12 14:25 |
| ssh-studio-1.3.1-r0.apk | 207236 | 2025-Oct-12 20:35 |
| ssh-studio-pyc-1.3.1-r0.apk | 109110 | 2025-Oct-12 20:35 |
| ssh-studio-lang-1.3.1-r0.apk | 1920 | 2025-Oct-12 20:35 |
| bat-extras-batdiff-2024.08.24-r0.apk | 5532 | 2025-Oct-12 20:47 |
| bat-extras-batman-2024.08.24-r0.apk | 4862 | 2025-Oct-12 20:47 |
| bat-extras-batpipe-2024.08.24-r0.apk | 7197 | 2025-Oct-12 20:47 |
| bat-extras-batwatch-2024.08.24-r0.apk | 5988 | 2025-Oct-12 20:47 |
| bat-extras-doc-2024.08.24-r0.apk | 15898 | 2025-Oct-12 20:47 |
| bat-extras-prettybat-2024.08.24-r0.apk | 5712 | 2025-Oct-12 20:47 |
| bat-extras-2024.08.24-r0.apk | 5446 | 2025-Oct-12 20:47 |
| bat-extras-batgrep-2024.08.24-r0.apk | 7526 | 2025-Oct-12 20:47 |
| gtypist-lang-2.10.1-r0.apk | 26753 | 2025-Oct-12 20:59 |
| gtypist-doc-2.10.1-r0.apk | 106372 | 2025-Oct-12 20:59 |
| gtypist-2.10.1-r0.apk | 650647 | 2025-Oct-12 20:59 |
| parpar-0.4.5-r0.apk | 3236428 | 2025-Oct-12 21:02 |
| nyuu-0.4.2-r0.apk | 733554 | 2025-Oct-12 21:03 |
| py3-pdoc-pyc-15.0.4-r0.apk | 173023 | 2025-Oct-12 21:19 |
| py3-pdoc-15.0.4-r0.apk | 135956 | 2025-Oct-12 21:19 |
| vimv-doc-3.1.0-r0.apk | 2044 | 2025-Oct-12 21:19 |
| vimv-3.1.0-r0.apk | 239244 | 2025-Oct-12 21:19 |
| maildir-rank-addr-doc-1.4.1-r0.apk | 2337 | 2025-Oct-12 21:30 |
| maildir-rank-addr-1.4.1-r0.apk | 3336810 | 2025-Oct-12 21:30 |
| gl2ps-static-1.4.2-r0.apk | 43640 | 2025-Oct-12 21:32 |
| gl2ps-doc-1.4.2-r0.apk | 235746 | 2025-Oct-12 21:32 |
| gl2ps-dev-1.4.2-r0.apk | 4453 | 2025-Oct-12 21:32 |
| gl2ps-1.4.2-r0.apk | 38323 | 2025-Oct-12 21:32 |
| nautilus-python-dev-4.0.1-r0.apk | 1743 | 2025-Oct-12 21:54 |
| nautilus-python-4.0.1-r0.apk | 10189 | 2025-Oct-12 21:54 |
| nautilus-python-doc-4.0.1-r0.apk | 4341 | 2025-Oct-12 21:54 |
| cartero-lang-0.2.2-r0.apk | 45839 | 2025-Oct-12 23:57 |
| cartero-0.2.2-r0.apk | 1142389 | 2025-Oct-12 23:57 |
| termusic-mpv-0.12.0-r0.apk | 9821254 | 2025-Oct-13 01:36 |
| php81-pecl-memcached-3.4.0-r0.apk | 46857 | 2025-Oct-13 08:36 |
| perl-clipboard-0.32-r1.apk | 10673 | 2025-Oct-13 16:00 |
| networkmanager-dmenu-2.6.1-r1.apk | 14131 | 2025-Oct-13 16:00 |
| networkmanager-dmenu-doc-2.6.1-r1.apk | 6958 | 2025-Oct-13 16:00 |
| roll-doc-2.6.1-r1.apk | 11550 | 2025-Oct-13 16:00 |
| perl-clipboard-doc-0.32-r1.apk | 27512 | 2025-Oct-13 16:00 |
| roll-2.6.1-r1.apk | 12919 | 2025-Oct-13 16:00 |
| roll-bash-completion-2.6.1-r1.apk | 1886 | 2025-Oct-13 16:00 |
| portsmf-239-r2.apk | 58482 | 2025-Oct-13 20:02 |
| portsmf-dev-239-r2.apk | 20476 | 2025-Oct-13 20:02 |
| py3-fastavro-1.12.1-r0.apk | 438392 | 2025-Oct-14 01:59 |
| py3-fastavro-pyc-1.12.1-r0.apk | 83913 | 2025-Oct-14 01:59 |
| sshm-doc-1.9.0-r0.apk | 2300 | 2025-Oct-14 10:03 |
| sshm-1.9.0-r0.apk | 3901499 | 2025-Oct-14 10:03 |
| lidarr-openrc-2.14.5.4836-r0.apk | 2091 | 2025-Oct-14 10:09 |
| lidarr-2.14.5.4836-r0.apk | 23243292 | 2025-Oct-14 10:09 |
| aggregate6-pyc-1.0.14-r0.apk | 5950 | 2025-Oct-14 11:05 |
| aggregate6-1.0.14-r0.apk | 7434 | 2025-Oct-14 11:05 |
| aggregate6-doc-1.0.14-r0.apk | 3008 | 2025-Oct-14 11:05 |
| pict-rs-0.5.19-r1.apk | 5715008 | 2025-Oct-14 14:31 |
| lemmy-localdb-0.19.12-r1.apk | 2481 | 2025-Oct-14 14:31 |
| lemmy-openrc-0.19.12-r1.apk | 1940 | 2025-Oct-14 14:31 |
| pict-rs-openrc-0.5.19-r1.apk | 1935 | 2025-Oct-14 14:31 |
| lemmy-0.19.12-r1.apk | 12558690 | 2025-Oct-14 14:31 |
| unicorn-2.1.4-r0.apk | 7214189 | 2025-Oct-14 14:46 |
| py3-unicorn-pyc-2.1.4-r0.apk | 94555 | 2025-Oct-14 14:46 |
| py3-unicorn-2.1.4-r0.apk | 51791 | 2025-Oct-14 14:46 |
| unicorn-dev-2.1.4-r0.apk | 8671639 | 2025-Oct-14 14:46 |
| android-apktool-2.12.1-r0.apk | 25655566 | 2025-Oct-14 14:53 |
| homebank-lang-5.9.5-r0.apk | 965070 | 2025-Oct-14 14:54 |
| homebank-5.9.5-r0.apk | 2129265 | 2025-Oct-14 14:54 |
| py3-unearth-pyc-0.18.0-r0.apk | 84305 | 2025-Oct-14 14:54 |
| py3-unearth-0.18.0-r0.apk | 41735 | 2025-Oct-14 14:54 |
| responder-3.1.7.0-r0.apk | 765237 | 2025-Oct-14 14:54 |
| py3-manuel-1.13.0-r1.apk | 40304 | 2025-Oct-14 15:04 |
| py3-manuel-pyc-1.13.0-r1.apk | 26278 | 2025-Oct-14 15:04 |
| py3-spotipy-pyc-2.24.0-r3.apk | 50674 | 2025-Oct-14 15:04 |
| py3-spotipy-2.24.0-r3.apk | 30325 | 2025-Oct-14 15:04 |
| cliphist-0.7.0-r0.apk | 997247 | 2025-Oct-14 17:31 |
| cliphist-fzf-0.7.0-r0.apk | 1836 | 2025-Oct-14 17:31 |
| py3-okonomiyaki-2.0.0-r1.apk | 8270719 | 2025-Oct-14 18:56 |
| py3-okonomiyaki-pyc-2.0.0-r1.apk | 248920 | 2025-Oct-14 18:56 |
| deblob-0.12-r0.apk | 143560 | 2025-Oct-14 22:47 |
| deblob-doc-0.12-r0.apk | 3891 | 2025-Oct-14 22:47 |
| bcg729-dev-1.1.1-r1.apk | 3707 | 2025-Oct-15 08:20 |
| bcg729-1.1.1-r1.apk | 34447 | 2025-Oct-15 08:20 |
| libtins-dev-4.5-r2.apk | 141114 | 2025-Oct-15 08:21 |
| libtins-4.5-r2.apk | 298295 | 2025-Oct-15 08:21 |
| libtins-doc-4.5-r2.apk | 2387 | 2025-Oct-15 08:21 |
| py3-hishel-0.1.4-r0.apk | 78698 | 2025-Oct-15 12:50 |
| py3-hishel-pyc-0.1.4-r0.apk | 146995 | 2025-Oct-15 12:50 |
| plakar-doc-1.0.5-r0.apk | 2127 | 2025-Oct-15 15:20 |
| plakar-1.0.5-r0.apk | 18988651 | 2025-Oct-15 15:20 |
| nwg-look-doc-1.0.6-r0.apk | 4255 | 2025-Oct-16 01:18 |
| nwg-look-1.0.6-r0.apk | 1416610 | 2025-Oct-16 01:18 |
| toybox-0.8.13-r0.apk | 261945 | 2025-Oct-16 10:30 |
| edit-doc-1.2.1-r0.apk | 2303 | 2025-Oct-16 13:17 |
| edit-1.2.1-r0.apk | 239727 | 2025-Oct-16 13:17 |
| tempo-2.9.0-r0.apk | 30881190 | 2025-Oct-16 13:37 |
| tempo-cli-2.9.0-r0.apk | 21959923 | 2025-Oct-16 13:37 |
| tempo-openrc-2.9.0-r0.apk | 1908 | 2025-Oct-16 13:37 |
| tempo-query-2.9.0-r0.apk | 6578110 | 2025-Oct-16 13:37 |
| tempo-vulture-2.9.0-r0.apk | 14538749 | 2025-Oct-16 13:37 |
| tempo-vulture-openrc-2.9.0-r0.apk | 2013 | 2025-Oct-16 13:37 |
| perl-html-object-doc-0.6.0-r0.apk | 484875 | 2025-Oct-16 16:25 |
| perl-html-object-0.6.0-r0.apk | 351090 | 2025-Oct-16 16:25 |
| serie-doc-0.5.2-r0.apk | 8112 | 2025-Oct-16 17:03 |
| serie-0.5.2-r0.apk | 809038 | 2025-Oct-16 17:03 |
| magpie-dev-0.9.4-r0.apk | 252200 | 2025-Oct-16 17:07 |
| budgie-control-center-1.4.0-r0.apk | 3107492 | 2025-Oct-16 17:07 |
| budgie-control-center-bash-completion-1.4.0-r0.apk | 2360 | 2025-Oct-16 17:07 |
| budgie-control-center-lang-1.4.0-r0.apk | 4106840 | 2025-Oct-16 17:07 |
| budgie-desktop-10.9.2-r0.apk | 1407255 | 2025-Oct-16 17:07 |
| budgie-desktop-dev-10.9.2-r0.apk | 18660 | 2025-Oct-16 17:07 |
| budgie-desktop-doc-10.9.2-r0.apk | 5788 | 2025-Oct-16 17:07 |
| budgie-desktop-lang-10.9.2-r0.apk | 599934 | 2025-Oct-16 17:07 |
| budgie-screensaver-5.1.0-r0.apk | 78728 | 2025-Oct-16 17:07 |
| budgie-screensaver-doc-5.1.0-r0.apk | 3423 | 2025-Oct-16 17:07 |
| budgie-screensaver-lang-5.1.0-r0.apk | 245942 | 2025-Oct-16 17:07 |
| budgie-session-0.9.1-r0.apk | 120783 | 2025-Oct-16 17:07 |
| budgie-session-doc-0.9.1-r0.apk | 5416 | 2025-Oct-16 17:07 |
| budgie-session-lang-0.9.1-r0.apk | 313972 | 2025-Oct-16 17:07 |
| magpie-0.9.4-r0.apk | 1868136 | 2025-Oct-16 17:07 |
| magpie-lang-0.9.4-r0.apk | 869853 | 2025-Oct-16 17:07 |
| gsimplecal-2.5.2-r0.apk | 16729 | 2025-Oct-16 18:48 |
| gsimplecal-doc-2.5.2-r0.apk | 5922 | 2025-Oct-16 18:48 |
| chimerautils-dbg-14.3.1-r0.apk | 3200542 | 2025-Oct-16 22:02 |
| py3-libacl-0.7.3-r0.apk | 26700 | 2025-Oct-16 22:02 |
| py3-vdf-3.4-r2.apk | 11430 | 2025-Oct-16 22:02 |
| chimerautils-14.3.1-r0.apk | 1387942 | 2025-Oct-16 22:02 |
| py3-vdf-pyc-3.4-r2.apk | 16918 | 2025-Oct-16 22:02 |
| py3-msldap-examples-0.5.15-r2.apk | 18803 | 2025-Oct-17 17:04 |
| py3-msldap-0.5.15-r2.apk | 129672 | 2025-Oct-17 17:04 |
| py3-msldap-pyc-0.5.15-r2.apk | 337692 | 2025-Oct-17 17:04 |
| perl-freezethaw-doc-0.5001-r3.apk | 5845 | 2025-Oct-18 10:32 |
| perl-freezethaw-0.5001-r3.apk | 10058 | 2025-Oct-18 10:32 |
| identities-0.2.2-r0.apk | 17436 | 2025-Oct-18 15:40 |
| gnome-mahjongg-doc-49.0.1-r0.apk | 2251 | 2025-Oct-18 21:03 |
| gnome-mahjongg-49.0.1-r0.apk | 2494527 | 2025-Oct-18 21:03 |
| gnome-mahjongg-lang-49.0.1-r0.apk | 174404 | 2025-Oct-18 21:03 |
| planarity-dev-4.0.1.0-r0.apk | 23663 | 2025-Oct-19 05:35 |
| planarity-4.0.1.0-r0.apk | 23194 | 2025-Oct-19 05:35 |
| planarity-doc-4.0.1.0-r0.apk | 13412 | 2025-Oct-19 05:35 |
| planarity-libs-4.0.1.0-r0.apk | 75983 | 2025-Oct-19 05:35 |
| nitro-init-0.5-r0.apk | 23288 | 2025-Oct-19 08:36 |
| nitro-init-doc-0.5-r0.apk | 4724 | 2025-Oct-19 08:36 |
| ffmpeg4-4.4.5-r4.apk | 34409 | 2025-Oct-19 13:37 |
| ffmpeg4-dev-4.4.5-r4.apk | 287506 | 2025-Oct-19 13:37 |
| ffmpeg4-libavcodec-4.4.5-r4.apk | 6530137 | 2025-Oct-19 13:37 |
| ffmpeg4-libavdevice-4.4.5-r4.apk | 49291 | 2025-Oct-19 13:37 |
| ffmpeg4-libavfilter-4.4.5-r4.apk | 1539328 | 2025-Oct-19 13:37 |
| ffmpeg4-libavformat-4.4.5-r4.apk | 1227028 | 2025-Oct-19 13:37 |
| ffmpeg4-libavutil-4.4.5-r4.apk | 292889 | 2025-Oct-19 13:37 |
| ffmpeg4-libpostproc-4.4.5-r4.apk | 27909 | 2025-Oct-19 13:37 |
| ffmpeg4-libswresample-4.4.5-r4.apk | 42247 | 2025-Oct-19 13:37 |
| ffmpeg4-libswscale-4.4.5-r4.apk | 153244 | 2025-Oct-19 13:37 |
| godot-4.4.1-r2.apk | 56630042 | 2025-Oct-19 13:37 |
| godot-doc-4.4.1-r2.apk | 4661 | 2025-Oct-19 13:37 |
| godot-templates-4.4.1-r2.apk | 43937559 | 2025-Oct-19 13:37 |
| mediastreamer2-5.3.112-r1.apk | 375474 | 2025-Oct-19 13:37 |
| mediastreamer2-dev-5.3.112-r1.apk | 112295 | 2025-Oct-19 13:37 |
| mediastreamer2-doc-5.3.112-r1.apk | 110295 | 2025-Oct-19 13:37 |
| warzone2100-4.5.5-r4.apk | 6354930 | 2025-Oct-19 13:37 |
| warzone2100-data-4.5.5-r4.apk | 343970961 | 2025-Oct-19 13:37 |
| warzone2100-doc-4.5.5-r4.apk | 1585532 | 2025-Oct-19 13:37 |
| warzone2100-lang-4.5.5-r4.apk | 2695665 | 2025-Oct-19 13:37 |
| fast-double-parser-0.8.1-r0.apk | 25928 | 2025-Oct-20 10:30 |
| organicmaps-2025.09.05.1-r0.apk | 132287617 | 2025-Oct-20 10:30 |
| himitsu-secret-service-pyc-0.1_git20250705-r1.apk | 29286 | 2025-Oct-20 14:00 |
| himitsu-secret-service-0.1_git20250705-r1.apk | 16168 | 2025-Oct-20 14:00 |
| himitsu-secret-service-doc-0.1_git20250705-r1.apk | 4088 | 2025-Oct-20 14:00 |
| pure-1.23.0-r0.apk | 18754 | 2025-Oct-20 14:00 |
| pure-doc-1.23.0-r0.apk | 8102 | 2025-Oct-20 14:00 |
| py3-prctl-1.8.1-r0.apk | 13595 | 2025-Oct-20 14:00 |
| py3-prctl-pyc-1.8.1-r0.apk | 7408 | 2025-Oct-20 14:00 |
| openocd-git-udev-0_git20251018-r1.apk | 3628 | 2025-Oct-20 20:14 |
| pwvucontrol-0.5.0-r0.apk | 420845 | 2025-Oct-20 20:14 |
| openocd-esp32-dev-0_git20250707-r2.apk | 3746 | 2025-Oct-20 20:14 |
| openocd-esp32-doc-0_git20250707-r2.apk | 3291 | 2025-Oct-20 20:14 |
| openocd-esp32-udev-0_git20250707-r2.apk | 3586 | 2025-Oct-20 20:14 |
| openocd-git-0_git20251018-r1.apk | 1753763 | 2025-Oct-20 20:14 |
| openocd-git-cmd-openocd-0_git20251018-r1.apk | 1612 | 2025-Oct-20 20:14 |
| openocd-git-dbg-0_git20251018-r1.apk | 4440372 | 2025-Oct-20 20:14 |
| openocd-git-dev-0_git20251018-r1.apk | 3426 | 2025-Oct-20 20:14 |
| openocd-git-doc-0_git20251018-r1.apk | 3292 | 2025-Oct-20 20:14 |
| pwvucontrol-lang-0.5.0-r0.apk | 11592 | 2025-Oct-20 20:14 |
| openocd-esp32-0_git20250707-r2.apk | 2015409 | 2025-Oct-20 20:14 |
| pwvucontrol-dbg-0.5.0-r0.apk | 2023005 | 2025-Oct-20 20:14 |
| ruby-byebug-12.0.0-r0.apk | 129056 | 2025-Oct-21 04:12 |
| ruby-crack-1.0.1-r0.apk | 2380 | 2025-Oct-21 04:14 |
| ruby-crack-doc-1.0.1-r0.apk | 2303 | 2025-Oct-21 04:14 |
| ruby-dry-inflector-doc-1.2.0-r0.apk | 2313 | 2025-Oct-21 04:16 |
| ruby-dry-inflector-1.2.0-r0.apk | 8370 | 2025-Oct-21 04:16 |
| ruby-hashdiff-doc-1.2.1-r0.apk | 2303 | 2025-Oct-21 04:18 |
| ruby-hashdiff-1.2.1-r0.apk | 9168 | 2025-Oct-21 04:18 |
| ruby-hoe-4.3.0-r0.apk | 28016 | 2025-Oct-21 04:19 |
| kew-doc-3.6.4-r0.apk | 3718 | 2025-Oct-21 05:32 |
| kew-3.6.4-r0.apk | 550724 | 2025-Oct-21 05:32 |
| dnote-0.15.4-r0.apk | 3909748 | 2025-Oct-22 14:29 |
| bash-pinyin-completion-rs-1.0.0-r0.apk | 299524 | 2025-Oct-22 14:29 |
| bash-pinyin-completion-rs-doc-1.0.0-r0.apk | 13908 | 2025-Oct-22 14:29 |
| dnote-bash-completion-0.15.4-r0.apk | 2151 | 2025-Oct-22 14:29 |
| dnote-doc-0.15.4-r0.apk | 14954 | 2025-Oct-22 14:29 |
| dnote-zsh-completion-0.15.4-r0.apk | 2073 | 2025-Oct-22 14:29 |
| firefox-developer-edition-145.0_beta4-r0.apk | 86835250 | 2025-Oct-22 14:29 |
| lomiri-ui-toolkit-1.3.5110-r4.apk | 1345052 | 2025-Oct-22 14:29 |
| lomiri-trust-store-lang-2.0.2-r13.apk | 28391 | 2025-Oct-22 14:29 |
| lomiri-trust-store-dev-2.0.2-r13.apk | 9349 | 2025-Oct-22 14:29 |
| lomiri-trust-store-2.0.2-r13.apk | 958202 | 2025-Oct-22 14:29 |
| lomiri-lang-0.5.0-r3.apk | 275784 | 2025-Oct-22 14:29 |
| lomiri-app-launch-dev-0.1.12-r4.apk | 20561 | 2025-Oct-22 14:29 |
| lomiri-app-launch-0.1.12-r4.apk | 351408 | 2025-Oct-22 14:29 |
| lomiri-0.5.0-r3.apk | 4134059 | 2025-Oct-22 14:29 |
| linux-asahi-dev-6.17.4_p2-r1.apk | 24100494 | 2025-Oct-22 14:29 |
| linux-asahi-6.17.4_p2-r1.apk | 21418561 | 2025-Oct-22 14:29 |
| lomiri-ui-toolkit-dev-1.3.5110-r4.apk | 171821 | 2025-Oct-22 14:29 |
| lomiri-ui-toolkit-lang-1.3.5110-r4.apk | 98826 | 2025-Oct-22 14:29 |
| mir-2.23.0-r0.apk | 2338401 | 2025-Oct-22 14:29 |
| mir-demos-2.23.0-r0.apk | 153577 | 2025-Oct-22 14:29 |
| mir-dev-2.23.0-r0.apk | 8864350 | 2025-Oct-22 14:29 |
| mir-test-tools-2.23.0-r0.apk | 1240965 | 2025-Oct-22 14:29 |
| pfetch-doc-1.9.4-r0.apk | 5861 | 2025-Oct-22 14:29 |
| pfetch-1.9.4-r0.apk | 23816 | 2025-Oct-22 14:29 |
| qtmir-0.7.2_git20250407-r4.apk | 519369 | 2025-Oct-22 14:29 |
| qtmir-dev-0.7.2_git20250407-r4.apk | 6803 | 2025-Oct-22 14:29 |
| cobang-lang-1.8.1-r0.apk | 10382 | 2025-Oct-23 05:18 |
| cobang-1.8.1-r0.apk | 41818 | 2025-Oct-23 05:18 |
| game-devices-udev-0.25-r0.apk | 7064 | 2025-Oct-23 05:18 |
| xed-lang-3.8.4-r0.apk | 2192253 | 2025-Oct-23 07:55 |
| xed-doc-3.8.4-r0.apk | 994187 | 2025-Oct-23 07:55 |
| xed-python-3.8.4-r0.apk | 25076 | 2025-Oct-23 07:55 |
| xed-3.8.4-r0.apk | 1148768 | 2025-Oct-23 07:55 |
| xed-dev-3.8.4-r0.apk | 13981 | 2025-Oct-23 07:55 |
| errands-46.2.9-r0.apk | 86114 | 2025-Oct-23 08:13 |
| errands-lang-46.2.9-r0.apk | 74812 | 2025-Oct-23 08:13 |
| comrak-0.45.0-r0.apk | 996331 | 2025-Oct-23 15:13 |
| comrak-doc-0.45.0-r0.apk | 10697 | 2025-Oct-23 15:13 |
| kcbench-doc-0.9.13-r0.apk | 20781 | 2025-Oct-23 20:58 |
| kcbench-0.9.13-r0.apk | 38086 | 2025-Oct-23 20:58 |
| php82-pecl-couchbase-4.3.0-r0.apk | 4795857 | 2025-Oct-24 01:33 |
| php82-imap-8.2.29-r3.apk | 32782 | 2025-Oct-24 02:09 |
| php82-8.2.29-r3.apk | 1834058 | 2025-Oct-24 02:09 |
| php82-apache2-8.2.29-r3.apk | 1806418 | 2025-Oct-24 02:09 |
| php82-bcmath-8.2.29-r3.apk | 16400 | 2025-Oct-24 02:09 |
| php82-bz2-8.2.29-r3.apk | 10482 | 2025-Oct-24 02:09 |
| php82-calendar-8.2.29-r3.apk | 13563 | 2025-Oct-24 02:09 |
| php82-cgi-8.2.29-r3.apk | 1811607 | 2025-Oct-24 02:09 |
| php82-common-8.2.29-r3.apk | 25878 | 2025-Oct-24 02:09 |
| php82-ctype-8.2.29-r3.apk | 5336 | 2025-Oct-24 02:09 |
| php82-curl-8.2.29-r3.apk | 38973 | 2025-Oct-24 02:09 |
| php82-dba-8.2.29-r3.apk | 22705 | 2025-Oct-24 02:09 |
| php82-dbg-8.2.29-r3.apk | 44665642 | 2025-Oct-24 02:09 |
| php82-dev-8.2.29-r3.apk | 991947 | 2025-Oct-24 02:09 |
| php82-doc-8.2.29-r3.apk | 74704 | 2025-Oct-24 02:09 |
| php82-dom-8.2.29-r3.apk | 61302 | 2025-Oct-24 02:09 |
| php82-embed-8.2.29-r3.apk | 1799338 | 2025-Oct-24 02:09 |
| php82-enchant-8.2.29-r3.apk | 8953 | 2025-Oct-24 02:09 |
| php82-exif-8.2.29-r3.apk | 29465 | 2025-Oct-24 02:09 |
| php82-ffi-8.2.29-r3.apk | 75743 | 2025-Oct-24 02:09 |
| php82-fileinfo-8.2.29-r3.apk | 385922 | 2025-Oct-24 02:09 |
| php82-fpm-8.2.29-r3.apk | 1880989 | 2025-Oct-24 02:09 |
| php82-ftp-8.2.29-r3.apk | 22489 | 2025-Oct-24 02:09 |
| php82-gd-8.2.29-r3.apk | 125433 | 2025-Oct-24 02:09 |
| php82-gettext-8.2.29-r3.apk | 6209 | 2025-Oct-24 02:09 |
| php82-gmp-8.2.29-r3.apk | 21175 | 2025-Oct-24 02:09 |
| php82-iconv-8.2.29-r3.apk | 17656 | 2025-Oct-24 02:09 |
| php82-intl-8.2.29-r3.apk | 153551 | 2025-Oct-24 02:09 |
| php82-ldap-8.2.29-r3.apk | 31173 | 2025-Oct-24 02:09 |
| php82-litespeed-8.2.29-r3.apk | 1820862 | 2025-Oct-24 02:09 |
| php82-mbstring-8.2.29-r3.apk | 636104 | 2025-Oct-24 02:09 |
| php82-mysqli-8.2.29-r3.apk | 40581 | 2025-Oct-24 02:09 |
| php82-mysqlnd-8.2.29-r3.apk | 76604 | 2025-Oct-24 02:09 |
| php82-odbc-8.2.29-r3.apk | 23725 | 2025-Oct-24 02:09 |
| php82-opcache-8.2.29-r3.apk | 521450 | 2025-Oct-24 02:09 |
| php82-openssl-8.2.29-r3.apk | 70299 | 2025-Oct-24 02:09 |
| php82-pcntl-8.2.29-r3.apk | 15212 | 2025-Oct-24 02:09 |
| php82-pdo-8.2.29-r3.apk | 42837 | 2025-Oct-24 02:09 |
| php82-pdo_dblib-8.2.29-r3.apk | 12981 | 2025-Oct-24 02:09 |
| php82-pdo_mysql-8.2.29-r3.apk | 13469 | 2025-Oct-24 02:09 |
| php82-pdo_odbc-8.2.29-r3.apk | 13608 | 2025-Oct-24 02:09 |
| php82-pdo_pgsql-8.2.29-r3.apk | 19214 | 2025-Oct-24 02:09 |
| php82-pdo_sqlite-8.2.29-r3.apk | 13251 | 2025-Oct-24 02:09 |
| php82-pear-8.2.29-r3.apk | 346010 | 2025-Oct-24 02:09 |
| php82-pecl-amqp-2.1.2-r0.apk | 54961 | 2025-Oct-24 02:09 |
| php82-pecl-apcu-5.1.27-r0.apk | 57440 | 2025-Oct-24 02:09 |
| php82-pecl-ast-1.1.3-r0.apk | 21158 | 2025-Oct-24 02:09 |
| php82-pecl-brotli-0.18.2-r0.apk | 15458 | 2025-Oct-24 02:09 |
| php82-pecl-decimal-1.5.0-r1.apk | 18848 | 2025-Oct-24 02:09 |
| php82-pecl-ds-1.6.0-r0.apk | 59550 | 2025-Oct-24 02:09 |
| php82-pecl-event-3.1.4-r0.apk | 50308 | 2025-Oct-24 02:09 |
| php82-pecl-igbinary-3.2.16-r0.apk | 33772 | 2025-Oct-24 02:09 |
| php82-pecl-imagick-3.8.0-r1.apk | 107556 | 2025-Oct-24 02:09 |
| php82-pecl-imagick-dev-3.8.0-r1.apk | 2350 | 2025-Oct-24 02:09 |
| php82-pecl-luasandbox-4.1.2-r0.apk | 31033 | 2025-Oct-24 02:09 |
| php82-pecl-lzf-1.7.0-r0.apk | 7738 | 2025-Oct-24 02:09 |
| php82-pecl-mailparse-3.1.9-r0.apk | 24560 | 2025-Oct-24 02:09 |
| php82-pecl-mcrypt-1.0.9-r0.apk | 15468 | 2025-Oct-24 02:09 |
| php82-pecl-memcache-8.2-r2.apk | 44651 | 2025-Oct-24 02:09 |
| php82-pecl-memcached-3.4.0-r0.apk | 47193 | 2025-Oct-24 02:09 |
| php82-pecl-mongodb-2.1.4-r0.apk | 838872 | 2025-Oct-24 02:09 |
| php82-pecl-msgpack-3.0.0-r0.apk | 27838 | 2025-Oct-24 02:09 |
| php82-pecl-opentelemetry-1.2.1-r0.apk | 13608 | 2025-Oct-24 02:09 |
| php82-pecl-pcov-1.0.12-r0.apk | 10193 | 2025-Oct-24 02:09 |
| php82-pecl-protobuf-4.32.1-r0.apk | 149530 | 2025-Oct-24 02:09 |
| php82-pecl-psr-1.2.0-r1.apk | 17363 | 2025-Oct-24 02:09 |
| php82-pecl-rdkafka-6.0.5-r0.apk | 37715 | 2025-Oct-24 02:09 |
| php82-pecl-smbclient-1.2.0_pre-r0.apk | 21224 | 2025-Oct-24 02:09 |
| php82-pecl-ssh2-1.4.1-r0.apk | 27981 | 2025-Oct-24 02:09 |
| php82-pecl-timezonedb-2025.2-r0.apk | 193822 | 2025-Oct-24 02:09 |
| php82-pecl-uploadprogress-2.0.2-r2.apk | 6957 | 2025-Oct-24 02:09 |
| php82-pecl-uploadprogress-doc-2.0.2-r2.apk | 9820 | 2025-Oct-24 02:09 |
| php82-pecl-uuid-1.3.0-r0.apk | 6985 | 2025-Oct-24 02:09 |
| php82-pecl-vips-1.0.13-r0.apk | 16734 | 2025-Oct-24 02:09 |
| php82-pecl-xhprof-2.3.10-r0.apk | 13150 | 2025-Oct-24 02:09 |
| php82-pecl-xhprof-assets-2.3.10-r0.apk | 819071 | 2025-Oct-24 02:09 |
| php82-pecl-xlswriter-1.5.8-r0.apk | 226728 | 2025-Oct-24 02:09 |
| php82-pecl-zstd-0.15.2-r0.apk | 17515 | 2025-Oct-24 02:09 |
| php82-pgsql-8.2.29-r3.apk | 44518 | 2025-Oct-24 02:09 |
| php82-phar-8.2.29-r3.apk | 119642 | 2025-Oct-24 02:09 |
| php82-phpdbg-8.2.29-r3.apk | 1879091 | 2025-Oct-24 02:09 |
| php82-posix-8.2.29-r3.apk | 11525 | 2025-Oct-24 02:09 |
| php82-pspell-8.2.29-r3.apk | 8401 | 2025-Oct-24 02:09 |
| php82-session-8.2.29-r3.apk | 36278 | 2025-Oct-24 02:09 |
| php82-shmop-8.2.29-r3.apk | 6538 | 2025-Oct-24 02:09 |
| php82-simplexml-8.2.29-r3.apk | 22619 | 2025-Oct-24 02:09 |
| php82-snmp-8.2.29-r3.apk | 21461 | 2025-Oct-24 02:09 |
| php82-soap-8.2.29-r3.apk | 131235 | 2025-Oct-24 02:09 |
| php82-sockets-8.2.29-r3.apk | 37201 | 2025-Oct-24 02:09 |
| php82-sodium-8.2.29-r3.apk | 26343 | 2025-Oct-24 02:09 |
| php82-spx-0.4.21-r0.apk | 109600 | 2025-Oct-24 02:09 |
| php82-sqlite3-8.2.29-r3.apk | 22583 | 2025-Oct-24 02:09 |
| php82-sysvmsg-8.2.29-r3.apk | 8254 | 2025-Oct-24 02:09 |
| php82-sysvsem-8.2.29-r3.apk | 6156 | 2025-Oct-24 02:09 |
| php82-sysvshm-8.2.29-r3.apk | 7153 | 2025-Oct-24 02:09 |
| php82-tidy-8.2.29-r3.apk | 19558 | 2025-Oct-24 02:09 |
| php82-tokenizer-8.2.29-r3.apk | 12156 | 2025-Oct-24 02:09 |
| php82-xml-8.2.29-r3.apk | 19588 | 2025-Oct-24 02:09 |
| php82-xmlreader-8.2.29-r3.apk | 14153 | 2025-Oct-24 02:09 |
| php82-xmlwriter-8.2.29-r3.apk | 11538 | 2025-Oct-24 02:09 |
| php82-xsl-8.2.29-r3.apk | 13546 | 2025-Oct-24 02:09 |
| php82-zip-8.2.29-r3.apk | 27612 | 2025-Oct-24 02:09 |
| unit-php82-1.35.0-r0.apk | 33360 | 2025-Oct-24 02:09 |
| sstp-client-dev-1.0.20-r3.apk | 5539 | 2025-Oct-24 02:29 |
| sstp-client-doc-1.0.20-r3.apk | 4976 | 2025-Oct-24 02:29 |
| sstp-client-1.0.20-r3.apk | 42236 | 2025-Oct-24 02:29 |
| ruby-mail-2.9.0-r0.apk | 403860 | 2025-Oct-24 12:36 |
| perl-module-generic-doc-1.1.3-r0.apk | 268655 | 2025-Oct-24 17:54 |
| perl-module-generic-1.1.3-r0.apk | 331501 | 2025-Oct-24 17:54 |
| php81-pecl-grpc-1.76.0-r0.apk | 4280301 | 2025-Oct-24 19:24 |
| php82-pecl-grpc-1.76.0-r0.apk | 4280760 | 2025-Oct-24 19:24 |
| crowdsec-1.7.3-r0.apk | 38166645 | 2025-Oct-24 20:21 |
| crowdsec-file-plugin-1.7.3-r0.apk | 6208768 | 2025-Oct-24 20:21 |
| crowdsec-email-plugin-1.7.3-r0.apk | 6254958 | 2025-Oct-24 20:21 |
| crowdsec-sentinel-plugin-1.7.3-r0.apk | 6209049 | 2025-Oct-24 20:21 |
| crowdsec-splunk-plugin-1.7.3-r0.apk | 6209007 | 2025-Oct-24 20:21 |
| crowdsec-http-plugin-1.7.3-r0.apk | 6209792 | 2025-Oct-24 20:21 |
| crowdsec-openrc-1.7.3-r0.apk | 1843 | 2025-Oct-24 20:21 |
| crowdsec-slack-plugin-1.7.3-r0.apk | 6254618 | 2025-Oct-24 20:21 |
| serialdv-1.1.5-r0.apk | 6795 | 2025-Oct-24 20:29 |
| serialdv-libs-1.1.5-r0.apk | 61724 | 2025-Oct-24 20:29 |
| sdrangel-7.22.9-r0.apk | 72820579 | 2025-Oct-24 20:29 |
| serialdv-dev-1.1.5-r0.apk | 5475 | 2025-Oct-24 20:29 |
| boa-cli-0.21-r0.apk | 7701968 | 2025-Oct-24 20:41 |
| rpicam-apps-doc-1.10.0-r0.apk | 2426 | 2025-Oct-24 20:41 |
| rpicam-apps-1.10.0-r0.apk | 460401 | 2025-Oct-24 20:41 |
| yosys-0.57-r0.apk | 23466812 | 2025-Oct-24 21:11 |
| py3-yosys-0.57-r0.apk | 569955 | 2025-Oct-24 21:11 |
| yosys-dev-0.57-r0.apk | 283931 | 2025-Oct-24 21:11 |
| schismtracker-doc-20251014-r0.apk | 6486 | 2025-Oct-24 23:50 |
| schismtracker-20251014-r0.apk | 461933 | 2025-Oct-24 23:50 |
| ocaml-reason-3.8.2-r2.apk | 16515251 | 2025-Oct-25 05:04 |
| reason-rtop-3.8.2-r2.apk | 25446335 | 2025-Oct-25 05:04 |
| ocaml-reason-dev-3.8.2-r2.apk | 30187907 | 2025-Oct-25 05:04 |
| reason-3.8.2-r2.apk | 23951189 | 2025-Oct-25 05:04 |
| gmic-3.6.0-r1.apk | 12918752 | 2025-Oct-25 09:10 |
| gimp-plugin-gmic-3.6.0-r1.apk | 1386020 | 2025-Oct-25 09:10 |
| gmic-libs-3.6.0-r1.apk | 3220954 | 2025-Oct-25 09:10 |
| gmic-qt-3.6.0-r1.apk | 1732260 | 2025-Oct-25 09:10 |
| gmic-bash-completion-3.6.0-r1.apk | 29371 | 2025-Oct-25 09:10 |
| gmic-dev-3.6.0-r1.apk | 7810 | 2025-Oct-25 09:10 |
| gmic-doc-3.6.0-r1.apk | 228227 | 2025-Oct-25 09:10 |
| pikchr-cmd-doc-1.0.0-r0.apk | 2298 | 2025-Oct-25 09:52 |
| pikchr-cmd-1.0.0-r0.apk | 45742 | 2025-Oct-25 09:52 |
| lua5.2-ubus-2025.10.17-r0.apk | 9635 | 2025-Oct-25 12:56 |
| ubus-dev-2025.10.17-r0.apk | 5730 | 2025-Oct-25 12:56 |
| lua5.1-ubus-2025.10.17-r0.apk | 9636 | 2025-Oct-25 12:56 |
| ubus-2025.10.17-r0.apk | 38085 | 2025-Oct-25 12:56 |
| py3-flake8-isort-7.0.0-r0.apk | 18415 | 2025-Oct-25 20:12 |
| py3-flake8-isort-pyc-7.0.0-r0.apk | 5489 | 2025-Oct-25 20:12 |
| lomiri-thumbnailer-doc-3.0.5-r0.apk | 126608 | 2025-Oct-25 20:19 |
| lomiri-action-api-dev-1.2.1-r0.apk | 5079 | 2025-Oct-25 20:19 |
| lomiri-api-0.2.3-r0.apk | 32711 | 2025-Oct-25 20:19 |
| lomiri-api-dev-0.2.3-r0.apk | 32898 | 2025-Oct-25 20:19 |
| lomiri-settings-components-1.1.3-r0.apk | 227356 | 2025-Oct-25 20:19 |
| lomiri-settings-components-lang-1.1.3-r0.apk | 110221 | 2025-Oct-25 20:19 |
| lomiri-thumbnailer-3.0.5-r0.apk | 221407 | 2025-Oct-25 20:19 |
| lomiri-thumbnailer-dev-3.0.5-r0.apk | 5234 | 2025-Oct-25 20:19 |
| lomiri-action-api-1.2.1-r0.apk | 80760 | 2025-Oct-25 20:19 |
| usql-0.19.26-r0.apk | 40503517 | 2025-Oct-26 07:19 |
| wl-clip-persist-0.5.0-r0.apk | 899719 | 2025-Oct-26 12:28 |
| php81-pecl-xdebug-3.4.7-r0.apk | 145770 | 2025-Oct-27 09:05 |
| php82-pecl-xdebug-3.4.7-r0.apk | 145925 | 2025-Oct-27 09:05 |
| dooit-3.3.3-r0.apk | 47006 | 2025-Oct-27 09:05 |
| dooit-pyc-3.3.3-r0.apk | 105625 | 2025-Oct-27 09:05 |
| py3-textual-pyc-5.3.0-r0.apk | 1249977 | 2025-Oct-27 09:05 |
| py3-textual-5.3.0-r0.apk | 626443 | 2025-Oct-27 09:05 |
| py3-uc-micro-py-1.0.3-r0.apk | 6701 | 2025-Oct-27 09:05 |
| py3-uc-micro-py-pyc-1.0.3-r0.apk | 4706 | 2025-Oct-27 09:05 |
| ruri-doc-3.9.3-r0.apk | 2291 | 2025-Oct-27 09:05 |
| redlib-0.36.0-r0.apk | 2930681 | 2025-Oct-27 09:05 |
| ruri-3.9.3-r0.apk | 109828 | 2025-Oct-27 09:05 |
| zizmor-doc-1.16.0-r0.apk | 2335 | 2025-Oct-27 09:05 |
| zizmor-1.16.0-r0.apk | 4121340 | 2025-Oct-27 09:05 |
| vals-0.42.4-r0.apk | 36380536 | 2025-Oct-27 12:04 |
| helmfile-1.1.8-r0.apk | 59565041 | 2025-Oct-27 12:04 |
| helmfile-bash-completion-1.1.8-r0.apk | 6261 | 2025-Oct-27 12:04 |
| helmfile-doc-1.1.8-r0.apk | 2303 | 2025-Oct-27 12:04 |
| helmfile-fish-completion-1.1.8-r0.apk | 4436 | 2025-Oct-27 12:04 |
| helmfile-zsh-completion-1.1.8-r0.apk | 4143 | 2025-Oct-27 12:04 |
| buf-1.59.0-r0.apk | 14942831 | 2025-Oct-27 12:06 |
| buf-fish-completion-1.59.0-r0.apk | 4409 | 2025-Oct-27 12:06 |
| buf-protoc-plugins-1.59.0-r0.apk | 14472904 | 2025-Oct-27 12:06 |
| buf-zsh-completion-1.59.0-r0.apk | 4124 | 2025-Oct-27 12:06 |
| buf-bash-completion-1.59.0-r0.apk | 8839 | 2025-Oct-27 12:06 |
| templ-0.3.960-r0.apk | 5365267 | 2025-Oct-27 12:09 |
| xtl-0.8.1-r0.apk | 91896 | 2025-Oct-27 13:41 |
| stern-zsh-completion-1.33.0-r0.apk | 4143 | 2025-Oct-27 13:59 |
| stern-bash-completion-1.33.0-r0.apk | 5983 | 2025-Oct-27 13:59 |
| stern-fish-completion-1.33.0-r0.apk | 4424 | 2025-Oct-27 13:59 |
| stern-1.33.0-r0.apk | 18265192 | 2025-Oct-27 13:59 |
| kile-lang-3.0_beta4-r0.apk | 2809056 | 2025-Oct-27 14:05 |
| kile-3.0_beta4-r0.apk | 2274342 | 2025-Oct-27 14:05 |
| kile-doc-3.0_beta4-r0.apk | 4785487 | 2025-Oct-27 14:05 |
| tanka-0.35.0-r0.apk | 7165310 | 2025-Oct-28 02:27 |
| tealdeer-1.8.0-r0.apk | 792358 | 2025-Oct-28 02:27 |
| virtctl-fish-completion-1.6.2-r0.apk | 4429 | 2025-Oct-28 02:27 |
| virtctl-bash-completion-1.6.2-r0.apk | 5248 | 2025-Oct-28 02:27 |
| virtctl-zsh-completion-1.6.2-r0.apk | 4144 | 2025-Oct-28 02:27 |
| virtctl-1.6.2-r0.apk | 14744905 | 2025-Oct-28 02:27 |
| upterm-zsh-completion-0.17.0-r0.apk | 4139 | 2025-Oct-28 02:27 |
| jsonnet-language-server-0.16.0-r0.apk | 4477431 | 2025-Oct-28 02:27 |
| upterm-server-openrc-0.17.0-r0.apk | 2007 | 2025-Oct-28 02:27 |
| libigraph-1.0.0-r0.apk | 1819802 | 2025-Oct-28 02:27 |
| libigraph-dev-1.0.0-r0.apk | 91890 | 2025-Oct-28 02:27 |
| upterm-server-0.17.0-r0.apk | 6642440 | 2025-Oct-28 02:27 |
| upterm-doc-0.17.0-r0.apk | 7220 | 2025-Oct-28 02:27 |
| upterm-bash-completion-0.17.0-r0.apk | 5696 | 2025-Oct-28 02:27 |
| upterm-0.17.0-r0.apk | 7043721 | 2025-Oct-28 02:27 |
| tealdeer-zsh-completion-1.8.0-r0.apk | 2443 | 2025-Oct-28 02:27 |
| tealdeer-fish-completion-1.8.0-r0.apk | 2326 | 2025-Oct-28 02:27 |
| py3-igraph-1.0.0-r0.apk | 417582 | 2025-Oct-28 02:27 |
| py3-igraph-dev-1.0.0-r0.apk | 2664 | 2025-Oct-28 02:27 |
| py3-igraph-pyc-1.0.0-r0.apk | 383376 | 2025-Oct-28 02:27 |
| rankwidth-0.9-r4.apk | 5796 | 2025-Oct-28 02:27 |
| rankwidth-dev-0.9-r4.apk | 2995 | 2025-Oct-28 02:27 |
| rankwidth-doc-0.9-r4.apk | 2984 | 2025-Oct-28 02:27 |
| rankwidth-libs-0.9-r4.apk | 5304 | 2025-Oct-28 02:27 |
| rankwidth-static-0.9-r4.apk | 4396 | 2025-Oct-28 02:27 |
| tealdeer-bash-completion-1.8.0-r0.apk | 2075 | 2025-Oct-28 02:27 |
| hurl-doc-7.0.0-r0.apk | 9192 | 2025-Oct-28 02:31 |
| hurl-7.0.0-r0.apk | 2552095 | 2025-Oct-28 02:31 |
| hurl-bash-completion-7.0.0-r0.apk | 2369 | 2025-Oct-28 02:31 |
| hurl-fish-completion-7.0.0-r0.apk | 3685 | 2025-Oct-28 02:31 |
| hurl-zsh-completion-7.0.0-r0.apk | 4180 | 2025-Oct-28 02:31 |
| kubectl-oidc_login-1.34.2-r0.apk | 5506158 | 2025-Oct-28 02:31 |
| lima-1.2.1-r0.apk | 10890726 | 2025-Oct-28 02:31 |
| lima-bash-completion-1.2.1-r0.apk | 6245 | 2025-Oct-28 02:31 |
| lima-doc-1.2.1-r0.apk | 63781 | 2025-Oct-28 02:31 |
| lima-fish-completion-1.2.1-r0.apk | 4428 | 2025-Oct-28 02:31 |
| lima-guestagent-1.2.1-r0.apk | 13291773 | 2025-Oct-28 02:31 |
| lima-zsh-completion-1.2.1-r0.apk | 4135 | 2025-Oct-28 02:31 |
| tailspin-bash-completion-5.5.0-r0.apk | 2297 | 2025-Oct-28 06:57 |
| tailspin-zsh-completion-5.5.0-r0.apk | 2520 | 2025-Oct-28 06:57 |
| tailspin-5.5.0-r0.apk | 1115994 | 2025-Oct-28 06:57 |
| tailspin-fish-completion-5.5.0-r0.apk | 2163 | 2025-Oct-28 06:57 |
| tailspin-doc-5.5.0-r0.apk | 3076 | 2025-Oct-28 06:57 |
| please-build-zsh-completion-17.22.0-r0.apk | 1985 | 2025-Oct-28 07:03 |
| please-build-17.22.0-r0.apk | 7241394 | 2025-Oct-28 07:03 |
| please-build-bash-completion-17.22.0-r0.apk | 1983 | 2025-Oct-28 07:03 |
| please-build-tools-17.22.0-r0.apk | 10907927 | 2025-Oct-28 07:03 |
| regal-bash-completion-0.36.1-r0.apk | 6246 | 2025-Oct-28 07:08 |
| regal-fish-completion-0.36.1-r0.apk | 4429 | 2025-Oct-28 07:08 |
| regal-zsh-completion-0.36.1-r0.apk | 4143 | 2025-Oct-28 07:08 |
| regal-0.36.1-r0.apk | 11735334 | 2025-Oct-28 07:08 |
| linuxkit-1.8.2-r0.apk | 13363455 | 2025-Oct-28 07:09 |
| linuxkit-doc-1.8.2-r0.apk | 10517 | 2025-Oct-28 07:09 |
| protoc-gen-go-1.36.10-r0.apk | 2273504 | 2025-Oct-28 07:11 |
| ticker-5.0.7-r6.apk | 3482653 | 2025-Oct-28 07:15 |
| ticker-fish-completion-5.0.7-r6.apk | 4436 | 2025-Oct-28 07:15 |
| ticker-zsh-completion-5.0.7-r6.apk | 4153 | 2025-Oct-28 07:15 |
| ticker-bash-completion-5.0.7-r6.apk | 6261 | 2025-Oct-28 07:15 |
| cloud-hypervisor-48.0-r0.apk | 2763330 | 2025-Oct-28 07:22 |
| cloud-hypervisor-doc-48.0-r0.apk | 69779 | 2025-Oct-28 07:22 |
| helm-diff-3.13.1-r0.apk | 21333941 | 2025-Oct-28 07:25 |
| cargo-update-18.0.0-r0.apk | 1098997 | 2025-Oct-28 07:25 |
| cargo-update-doc-18.0.0-r0.apk | 8715 | 2025-Oct-28 07:25 |
| helm-mapkubeapis-0.6.1-r0.apk | 20886078 | 2025-Oct-28 07:25 |
| helm-unittest-1.0.3-r0.apk | 11659156 | 2025-Oct-28 07:25 |
| helm-ls-0.5.3-r0.apk | 18209842 | 2025-Oct-28 11:48 |
| helm-ls-doc-0.5.3-r0.apk | 2306 | 2025-Oct-28 11:48 |
| knative-client-1.19.6-r0.apk | 22998861 | 2025-Oct-28 23:57 |
| knative-client-bash-completion-1.19.6-r0.apk | 10394 | 2025-Oct-28 23:57 |
| knative-client-fish-completion-1.19.6-r0.apk | 4411 | 2025-Oct-28 23:57 |
| knative-client-zsh-completion-1.19.6-r0.apk | 4134 | 2025-Oct-28 23:57 |
| opcr-policy-0.3.1-r0.apk | 9897768 | 2025-Oct-28 23:57 |
| wgcf-2.2.29-r0.apk | 4533242 | 2025-Oct-28 23:57 |
| wgcf-bash-completion-2.2.29-r0.apk | 6236 | 2025-Oct-28 23:57 |
| wgcf-fish-completion-2.2.29-r0.apk | 4416 | 2025-Oct-28 23:57 |
| wgcf-zsh-completion-2.2.29-r0.apk | 4137 | 2025-Oct-28 23:57 |
| gprbuild-25.0.0-r0.apk | 13122434 | 2025-Oct-29 02:54 |
| trivy-0.67.2-r0.apk | 66194941 | 2025-Oct-29 09:41 |
| i3bar-river-openrc-1.1.0-r1.apk | 1755 | 2025-Oct-29 22:51 |
| i3bar-river-1.1.0-r1.apk | 493939 | 2025-Oct-29 22:51 |
| i3bar-river-systemd-1.1.0-r1.apk | 1924 | 2025-Oct-29 22:51 |
| cargo-show-asm-0.2.53-r0.apk | 817178 | 2025-Oct-30 00:23 |
| cargo-show-asm-doc-0.2.53-r0.apk | 10238 | 2025-Oct-30 00:23 |
| kmscon-doc-9.1.0-r1.apk | 8599 | 2025-Oct-30 15:05 |
| kmscon-9.1.0-r1.apk | 867754 | 2025-Oct-30 15:05 |
| kmscon-systemd-9.1.0-r1.apk | 2765 | 2025-Oct-30 15:05 |
| stacker-doc-1.1.3-r0.apk | 16083 | 2025-Oct-31 13:29 |
| doasedit-1.0.9-r0.apk | 3542 | 2025-Oct-31 13:29 |
| stacker-1.1.3-r0.apk | 24047443 | 2025-Oct-31 13:29 |
| xonsh-0.19.9-r0.apk | 603075 | 2025-Oct-31 13:29 |
| xonsh-pyc-0.19.9-r0.apk | 1073889 | 2025-Oct-31 13:29 |
| gmsh-4.15.0-r0.apk | 8465607 | 2025-Oct-31 21:52 |
| gmsh-dbg-4.15.0-r0.apk | 154179039 | 2025-Oct-31 21:52 |
| gmsh-doc-4.15.0-r0.apk | 2034546 | 2025-Oct-31 21:52 |
| snapraid-doc-13.0-r0.apk | 19442 | 2025-Oct-31 21:52 |
| gmsh-py-4.15.0-r0.apk | 6900 | 2025-Oct-31 21:52 |
| snapraid-13.0-r0.apk | 274619 | 2025-Oct-31 21:52 |
| borogove-sdk-0_git20251014-r0.apk | 3090314 | 2025-Nov-01 02:25 |
| cpufetch-1.07-r0.apk | 47723 | 2025-Nov-01 10:01 |
| cpufetch-doc-1.07-r0.apk | 3261 | 2025-Nov-01 10:01 |
| pypy3-tests-7.3.19-r0.apk | 13483356 | 2025-Nov-01 15:11 |
| pypy-7.3.19-r0.apk | 16264255 | 2025-Nov-01 15:11 |
| pypy-bootstrap-7.3.19-r0.apk | 17029543 | 2025-Nov-01 15:11 |
| pypy-dev-7.3.19-r0.apk | 76429 | 2025-Nov-01 15:11 |
| pypy-tkinter-7.3.19-r0.apk | 457642 | 2025-Nov-01 15:11 |
| pypy3-7.3.19-r0.apk | 16082141 | 2025-Nov-01 15:11 |
| pypy3-dev-7.3.19-r0.apk | 587041 | 2025-Nov-01 15:11 |
| pypy3-pyc-7.3.19-r0.apk | 6126816 | 2025-Nov-01 15:11 |
| pypy3-tkinter-7.3.19-r0.apk | 307038 | 2025-Nov-01 15:11 |
| warp-s3-1.3.1-r0.apk | 8078365 | 2025-Nov-01 15:31 |
| wbg-1.3.0-r1.apk | 40599 | 2025-Nov-01 15:31 |
| syncwhen-systemd-0.3-r0.apk | 1819 | 2025-Nov-01 17:26 |
| syncwhen-0.3-r0.apk | 5417 | 2025-Nov-01 17:26 |
| rustical-0.9.14-r0.apk | 4348854 | 2025-Nov-02 07:52 |
| bazaar-0.5.8-r0.apk | 368791 | 2025-Nov-02 09:54 |
| bazaar-lang-0.5.8-r0.apk | 111627 | 2025-Nov-02 09:54 |
| bazaar-systemd-0.5.8-r0.apk | 1772 | 2025-Nov-02 09:54 |
| cpp-httplib-doc-0.27.0-r0.apk | 13719 | 2025-Nov-02 10:53 |
| cpp-httplib-0.27.0-r0.apk | 86106 | 2025-Nov-02 10:53 |
| reprotest-0.7.32-r0.apk | 82212 | 2025-Nov-02 14:11 |
| reprotest-pyc-0.7.32-r0.apk | 105387 | 2025-Nov-02 14:11 |
| emacs-llama-1.0.2-r0.apk | 12905 | 2025-Nov-02 15:29 |
| ovos-core-2.1.0-r0.apk | 50176 | 2025-Nov-02 16:03 |
| ovos-core-pyc-2.1.0-r0.apk | 59707 | 2025-Nov-02 16:03 |
| wiki-tui-doc-0.9.1-r0.apk | 4642 | 2025-Nov-02 18:08 |
| wiki-tui-0.9.1-r0.apk | 2078957 | 2025-Nov-02 18:08 |
| cocogitto-zsh-completion-6.5.0-r0.apk | 3248 | 2025-Nov-02 18:21 |
| cocogitto-doc-6.5.0-r0.apk | 40448 | 2025-Nov-02 18:21 |
| cocogitto-fish-completion-6.5.0-r0.apk | 3845 | 2025-Nov-02 18:21 |
| cocogitto-bash-completion-6.5.0-r0.apk | 3246 | 2025-Nov-02 18:21 |
| cocogitto-6.5.0-r0.apk | 1767351 | 2025-Nov-02 18:21 |
| qownnotes-lang-25.10.4-r0.apk | 5100820 | 2025-Nov-02 18:30 |
| qownnotes-25.10.4-r0.apk | 2566585 | 2025-Nov-02 18:30 |
| tomcat9-9.0.111-r0.apk | 7085472 | 2025-Nov-02 18:53 |
| tomcat9-examples-9.0.111-r0.apk | 449125 | 2025-Nov-02 18:53 |
| tomcat9-doc-9.0.111-r0.apk | 1808207 | 2025-Nov-02 18:53 |
| tomcat9-openrc-9.0.111-r0.apk | 4718 | 2025-Nov-02 18:53 |
| tomcat9-admin-9.0.111-r0.apk | 130176 | 2025-Nov-02 18:53 |
| py3-owslib-0.35.0-r0.apk | 199977 | 2025-Nov-02 19:15 |
| py3-owslib-pyc-0.35.0-r0.apk | 435070 | 2025-Nov-02 19:15 |
| libabigail-dev-2.8-r0.apk | 1616398 | 2025-Nov-02 19:50 |
| libabigail-2.8-r0.apk | 990640 | 2025-Nov-02 19:50 |
| libabigail-bash-completion-2.8-r0.apk | 2891 | 2025-Nov-02 19:50 |
| libabigail-doc-2.8-r0.apk | 78656 | 2025-Nov-02 19:50 |
| libabigail-tools-2.8-r0.apk | 124044 | 2025-Nov-02 19:50 |
| gede-2.22.1-r0.apk | 395160 | 2025-Nov-02 20:48 |
| tinygltf-2.9.7-r0.apk | 139480 | 2025-Nov-02 20:50 |
| tinygltf-dev-2.9.7-r0.apk | 58539 | 2025-Nov-02 20:50 |
| rtl8192eu-src-5.11.2.1_git20250812-r0.apk | 2715811 | 2025-Nov-02 21:34 |
| lbb-0.10.4-r0.apk | 3180240 | 2025-Nov-02 22:30 |
| lbb-doc-0.10.4-r0.apk | 20764 | 2025-Nov-02 22:30 |
| freshrss-pgsql-1.27.1-r0.apk | 1304 | 2025-Nov-03 06:27 |
| freshrss-themes-1.27.1-r0.apk | 1572141 | 2025-Nov-03 06:27 |
| freshrss-sqlite-1.27.1-r0.apk | 1307 | 2025-Nov-03 06:27 |
| freshrss-1.27.1-r0.apk | 1708716 | 2025-Nov-03 06:27 |
| freshrss-doc-1.27.1-r0.apk | 866579 | 2025-Nov-03 06:27 |
| freshrss-lang-1.27.1-r0.apk | 510927 | 2025-Nov-03 06:27 |
| freshrss-mysql-1.27.1-r0.apk | 1302 | 2025-Nov-03 06:27 |
| freshrss-openrc-1.27.1-r0.apk | 2560 | 2025-Nov-03 06:27 |
| py3-asysocks-examples-0.2.18-r0.apk | 36506 | 2025-Nov-03 06:49 |
| py3-minikerberos-0.4.9-r0.apk | 126165 | 2025-Nov-03 06:49 |
| py3-minikerberos-examples-0.4.9-r0.apk | 17781 | 2025-Nov-03 06:49 |
| py3-minikerberos-pyc-0.4.9-r0.apk | 294186 | 2025-Nov-03 06:49 |
| py3-unicrypto-0.0.12-r0.apk | 59991 | 2025-Nov-03 06:49 |
| py3-unicrypto-pyc-0.0.12-r0.apk | 94385 | 2025-Nov-03 06:49 |
| py3-unidns-0.0.4-r0.apk | 14718 | 2025-Nov-03 06:49 |
| py3-unidns-examples-0.0.4-r0.apk | 2668 | 2025-Nov-03 06:49 |
| py3-unidns-pyc-0.0.4-r0.apk | 25583 | 2025-Nov-03 06:49 |
| py3-aesedb-0.1.8-r0.apk | 35303 | 2025-Nov-03 06:49 |
| py3-aesedb-examples-0.1.8-r0.apk | 3503 | 2025-Nov-03 06:49 |
| py3-aesedb-pyc-0.1.8-r0.apk | 76755 | 2025-Nov-03 06:49 |
| py3-aiosmb-0.4.14-r0.apk | 599477 | 2025-Nov-03 06:49 |
| py3-aiosmb-examples-0.4.14-r0.apk | 38429 | 2025-Nov-03 06:49 |
| py3-aiosmb-pyc-0.4.14-r0.apk | 1213117 | 2025-Nov-03 06:49 |
| py3-aiowinreg-0.0.13-r0.apk | 22240 | 2025-Nov-03 06:49 |
| py3-aiowinreg-pyc-0.0.13-r0.apk | 45061 | 2025-Nov-03 06:49 |
| py3-asyauth-0.0.23-r0.apk | 86120 | 2025-Nov-03 06:49 |
| py3-asyauth-pyc-0.0.23-r0.apk | 190176 | 2025-Nov-03 06:49 |
| py3-asysocks-0.2.18-r0.apk | 90428 | 2025-Nov-03 06:49 |
| py3-asysocks-pyc-0.2.18-r0.apk | 327179 | 2025-Nov-03 06:49 |
| lxd-5.0.3-r16.apk | 13928650 | 2025-Nov-03 07:15 |
| lxd-bash-completion-5.0.3-r16.apk | 5179 | 2025-Nov-03 07:15 |
| lxd-client-5.0.3-r16.apk | 6028323 | 2025-Nov-03 07:15 |
| lxd-openrc-5.0.3-r16.apk | 2608 | 2025-Nov-03 07:15 |
| lxd-scripts-5.0.3-r16.apk | 25206034 | 2025-Nov-03 07:15 |
| lxd-vm-5.0.3-r16.apk | 1366 | 2025-Nov-03 07:15 |
| postgresql-pg_variables-1.2.5_git20230922-r1.apk | 23073 | 2025-Nov-03 16:17 |
| postgresql-pg_variables-bitcode-1.2.5_git2023092..> | 47802 | 2025-Nov-03 16:17 |
| victoria-logs-openrc-1.37.2-r0.apk | 2421 | 2025-Nov-04 20:18 |
| victoria-logs-1.37.2-r0.apk | 5155092 | 2025-Nov-04 20:18 |
| victoria-logs-vlagent-1.37.2-r0.apk | 3716728 | 2025-Nov-04 20:18 |
| victoria-logs-vlogscli-1.37.2-r0.apk | 3202182 | 2025-Nov-04 20:18 |
| flare-engine-1.14-r1.apk | 4609683 | 2025-Nov-05 11:39 |
| flare-engine-doc-1.14-r1.apk | 2528 | 2025-Nov-05 11:39 |
| argocd-zsh-completion-3.2.0-r0.apk | 4136 | 2025-Nov-05 13:49 |
| argocd-3.2.0-r0.apk | 42463775 | 2025-Nov-05 13:49 |
| argocd-bash-completion-3.2.0-r0.apk | 22173 | 2025-Nov-05 13:49 |
| argocd-doc-3.2.0-r0.apk | 5634 | 2025-Nov-05 13:49 |
| lspmux-0.3.0-r0.apk | 949487 | 2025-Nov-05 14:23 |
| lspmux-doc-0.3.0-r0.apk | 6786 | 2025-Nov-05 14:23 |
| makedumpfile-doc-1.7.8-r0.apk | 24243 | 2025-Nov-05 14:24 |
| makedumpfile-1.7.8-r0.apk | 154481 | 2025-Nov-05 14:24 |
| makedumpfile-openrc-1.7.8-r0.apk | 3221 | 2025-Nov-05 14:24 |
| mimalloc1-insecure-1.9.4-r0.apk | 72382 | 2025-Nov-05 14:28 |
| mimalloc1-1.9.4-r0.apk | 77574 | 2025-Nov-05 14:28 |
| mimalloc1-debug-1.9.4-r0.apk | 200282 | 2025-Nov-05 14:28 |
| mimalloc1-dev-1.9.4-r0.apk | 521744 | 2025-Nov-05 14:28 |
| wayfarer-1.4.0-r0.apk | 65761 | 2025-Nov-05 18:52 |
| dnscontrol-4.27.1-r0.apk | 14968566 | 2025-Nov-06 00:13 |
| dnscontrol-doc-4.27.1-r0.apk | 2341 | 2025-Nov-06 00:13 |
| gpsbabel-1.10.0-r0.apk | 1443162 | 2025-Nov-06 13:14 |
| gpsbabel-lang-1.10.0-r0.apk | 90126 | 2025-Nov-06 13:14 |
| odin-0.2025.11-r0.apk | 3232179 | 2025-Nov-06 16:27 |
| odin-doc-0.2025.11-r0.apk | 4600 | 2025-Nov-06 16:27 |
| odin-vendor-0.2025.11-r0.apk | 3916540 | 2025-Nov-06 16:27 |
| php81-pecl-redis-6.3.0-r0.apk | 186699 | 2025-Nov-07 16:49 |
| php82-pecl-redis-6.3.0-r0.apk | 188299 | 2025-Nov-07 16:49 |
| php83-pecl-ev-1.2.2-r0.apk | 41592 | 2025-Nov-08 01:19 |
| php84-pecl-ev-1.2.2-r0.apk | 41662 | 2025-Nov-08 01:19 |
| php85-pecl-ev-1.2.2-r0.apk | 41654 | 2025-Nov-08 01:19 |
| texstudio-4.9.0-r0.apk | 86358251 | 2025-Nov-08 01:19 |
| eclib-dev-20250627-r1.apk | 98077 | 2025-Nov-08 07:17 |
| eclib-libs-20250627-r1.apk | 1163753 | 2025-Nov-08 07:17 |
| eclib-20250627-r1.apk | 340499 | 2025-Nov-08 07:17 |
| eclib-doc-20250627-r1.apk | 28622 | 2025-Nov-08 07:17 |
| libntl-static-11.6.0-r0.apk | 1735901 | 2025-Nov-08 07:17 |
| libntl-11.6.0-r0.apk | 1104754 | 2025-Nov-08 07:17 |
| libntl-dev-11.6.0-r0.apk | 161337 | 2025-Nov-08 07:17 |
| libntl-doc-11.6.0-r0.apk | 374302 | 2025-Nov-08 07:17 |
| eclib-static-20250627-r1.apk | 21222211 | 2025-Nov-08 07:17 |
| flint-3.3.1-r1.apk | 4245957 | 2025-Nov-08 07:17 |
| flint-dev-3.3.1-r1.apk | 318625 | 2025-Nov-08 07:17 |
| cinny-web-4.10.2-r0.apk | 6119766 | 2025-Nov-08 07:25 |
| memray-1.19.1-r0.apk | 1867447 | 2025-Nov-08 15:41 |
| memray-pyc-1.19.1-r0.apk | 98667 | 2025-Nov-08 15:41 |
| build-next-bfd-22.0.0_pre20251108-r0.apk | 868552 | 2025-Nov-09 09:13 |
| build-next-22.0.0_pre20251108-r0.apk | 1632 | 2025-Nov-09 09:13 |
| build-next-gas-22.0.0_pre20251108-r0.apk | 908499 | 2025-Nov-09 09:13 |
| build-next-overlay-22.0.0_pre20251108-r0.apk | 3009 | 2025-Nov-09 09:13 |
| clang-next-22.0.0_pre20251108-r0.apk | 9541931 | 2025-Nov-09 09:13 |
| clang-next-ccache-22.0.0_pre20251108-r0.apk | 1701 | 2025-Nov-09 09:13 |
| clang-next-dev-22.0.0_pre20251108-r0.apk | 4056497 | 2025-Nov-09 09:13 |
| clang-next-headers-22.0.0_pre20251108-r0.apk | 1037835 | 2025-Nov-09 09:13 |
| clang-next-libclang-22.0.0_pre20251108-r0.apk | 9440024 | 2025-Nov-09 09:13 |
| clang-next-libs-22.0.0_pre20251108-r0.apk | 16202318 | 2025-Nov-09 09:13 |
| clang-next-rtlib-22.0.0_pre20251108-r0.apk | 12729328 | 2025-Nov-09 09:13 |
| clang-next-rtlib-atomic-22.0.0_pre20251108-r0.apk | 12805 | 2025-Nov-09 09:13 |
| clang-next-rtlib-scudo-22.0.0_pre20251108-r0.apk | 43271 | 2025-Nov-09 09:13 |
| clang-next-static-22.0.0_pre20251108-r0.apk | 36809071 | 2025-Nov-09 09:13 |
| lld-next-dev-22.0.0_pre20251108-r0.apk | 19021 | 2025-Nov-09 09:13 |
| lldb-next-22.0.0_pre20251108-r0.apk | 7034189 | 2025-Nov-09 09:13 |
| lldb-next-dev-22.0.0_pre20251108-r0.apk | 819160 | 2025-Nov-09 09:13 |
| lldb-next-pyc-22.0.0_pre20251108-r0.apk | 321636 | 2025-Nov-09 09:13 |
| flang-next-dev-22.0.0_pre20251108-r0.apk | 1112418 | 2025-Nov-09 09:13 |
| flang-next-22.0.0_pre20251108-r0.apk | 23393069 | 2025-Nov-09 09:13 |
| flang-next-static-22.0.0_pre20251108-r0.apk | 51222554 | 2025-Nov-09 09:13 |
| libclc-next-22.0.0_pre20251108-r0.apk | 12553196 | 2025-Nov-09 09:13 |
| lld-next-22.0.0_pre20251108-r0.apk | 8865 | 2025-Nov-09 09:13 |
| lld-next-libs-22.0.0_pre20251108-r0.apk | 1950391 | 2025-Nov-09 09:13 |
| llvm-next-libc++-static-22.0.0_pre20251108-r0.apk | 745848 | 2025-Nov-09 09:13 |
| llvm-next-libgcc-22.0.0_pre20251108-r0.apk | 56502 | 2025-Nov-09 09:13 |
| llvm-next-libgcc-dev-22.0.0_pre20251108-r0.apk | 2530 | 2025-Nov-09 09:13 |
| llvm-next-libs-22.0.0_pre20251108-r0.apk | 34157055 | 2025-Nov-09 09:13 |
| llvm-next-libunwind-22.0.0_pre20251108-r0.apk | 18007 | 2025-Nov-09 09:13 |
| llvm-next-libunwind-dev-22.0.0_pre20251108-r0.apk | 21241 | 2025-Nov-09 09:13 |
| llvm-next-libunwind-static-22.0.0_pre20251108-r0..> | 27957 | 2025-Nov-09 09:13 |
| llvm-next-linker-tools-22.0.0_pre20251108-r0.apk | 1384929 | 2025-Nov-09 09:13 |
| llvm-next-lit-22.0.0_pre20251108-r0.apk | 98168 | 2025-Nov-09 09:13 |
| llvm-next-lit-pyc-22.0.0_pre20251108-r0.apk | 166093 | 2025-Nov-09 09:13 |
| llvm-next-offload-dev-22.0.0_pre20251108-r0.apk | 393275 | 2025-Nov-09 09:13 |
| llvm-next-offload-22.0.0_pre20251108-r0.apk | 252739 | 2025-Nov-09 09:13 |
| llvm-next-runtime-22.0.0_pre20251108-r0.apk | 506274 | 2025-Nov-09 09:13 |
| llvm-next-polly-22.0.0_pre20251108-r0.apk | 2963706 | 2025-Nov-09 09:13 |
| llvm-next-openmp-dev-22.0.0_pre20251108-r0.apk | 135389 | 2025-Nov-09 09:13 |
| llvm-next-openmp-22.0.0_pre20251108-r0.apk | 615829 | 2025-Nov-09 09:13 |
| llvm-next-22.0.0_pre20251108-r0.apk | 23204668 | 2025-Nov-09 09:13 |
| llvm-next-dev-22.0.0_pre20251108-r0.apk | 9549670 | 2025-Nov-09 09:13 |
| llvm-next-gtest-22.0.0_pre20251108-r0.apk | 490283 | 2025-Nov-09 09:13 |
| llvm-next-libc++-22.0.0_pre20251108-r0.apk | 374380 | 2025-Nov-09 09:13 |
| llvm-next-libc++-dev-22.0.0_pre20251108-r0.apk | 1844145 | 2025-Nov-09 09:13 |
| mlir-next-dev-22.0.0_pre20251108-r0.apk | 7419555 | 2025-Nov-09 09:13 |
| llvm-next-static-22.0.0_pre20251108-r0.apk | 72986393 | 2025-Nov-09 09:13 |
| llvm-next-test-utils-22.0.0_pre20251108-r0.apk | 623693 | 2025-Nov-09 09:13 |
| mlir-next-22.0.0_pre20251108-r0.apk | 20363002 | 2025-Nov-09 09:13 |
| mlir-next-libs-22.0.0_pre20251108-r0.apk | 19644687 | 2025-Nov-09 09:13 |
| py3-clang-next-pyc-22.0.0_pre20251108-r0.apk | 62793 | 2025-Nov-09 09:13 |
| py3-clang-next-22.0.0_pre20251108-r0.apk | 35027 | 2025-Nov-09 09:13 |
| ocaml5-llvm-next-22.0.0_pre20251108-r0.apk | 701762 | 2025-Nov-09 09:13 |
| mlir-next-static-22.0.0_pre20251108-r0.apk | 56552880 | 2025-Nov-09 09:13 |
| ghc-release-doc-9.14.1_rc2-r0.apk | 6162 | 2025-Nov-09 10:18 |
| ghc-release-9.14.1_rc2-r0.apk | 159587574 | 2025-Nov-09 10:18 |
| ghc-release-cmds-9.14.1_rc2-r0.apk | 3551 | 2025-Nov-09 10:18 |
| ghc-release-fllvm-9.14.1_rc2-r0.apk | 1356 | 2025-Nov-09 10:18 |
| hatch-1.15.1-r0.apk | 105289 | 2025-Nov-09 12:09 |
| hatch-pyc-1.15.1-r0.apk | 222132 | 2025-Nov-09 12:09 |
| fheroes2-1.1.12-r0.apk | 1742131 | 2025-Nov-09 15:39 |
| fheroes2-lang-1.1.12-r0.apk | 1810344 | 2025-Nov-09 15:39 |
| gcli-doc-2.9.1-r0.apk | 38592 | 2025-Nov-09 16:39 |
| gcli-2.9.1-r0.apk | 131675 | 2025-Nov-09 16:39 |
| py3-mistune1-0.8.4-r6.apk | 14769 | 2025-Nov-09 18:52 |
| py3-mistune1-pyc-0.8.4-r6.apk | 21989 | 2025-Nov-09 18:52 |
| eww-0.6.0-r0.apk | 2886055 | 2025-Nov-09 19:42 |
| dbmate-2.28.0-r0.apk | 10845487 | 2025-Nov-10 03:16 |
| dbmate-doc-2.28.0-r0.apk | 2317 | 2025-Nov-10 03:16 |
| py3-flask-security-pyc-5.6.2-r0.apk | 232671 | 2025-Nov-10 03:16 |
| py3-flask-security-5.6.2-r0.apk | 303866 | 2025-Nov-10 03:16 |
| py3-minio-pyc-7.2.18-r0.apk | 158795 | 2025-Nov-10 03:17 |
| py3-minio-7.2.18-r0.apk | 76661 | 2025-Nov-10 03:17 |
| py3-qgis-3.44.4-r0.apk | 22243449 | 2025-Nov-10 05:32 |
| libspatialindex-2.1.0-r1.apk | 306982 | 2025-Nov-10 05:32 |
| libspatialindex-dev-2.1.0-r1.apk | 21928 | 2025-Nov-10 05:32 |
| qgis-3.44.4-r0.apk | 51151887 | 2025-Nov-10 05:32 |
| qgis-doc-3.44.4-r0.apk | 3123 | 2025-Nov-10 05:32 |
| qgis-grass-3.44.4-r0.apk | 1487122 | 2025-Nov-10 05:32 |
| qgis-lang-3.44.4-r0.apk | 35070282 | 2025-Nov-10 05:32 |
| qgis-server-3.44.4-r0.apk | 1899090 | 2025-Nov-10 05:32 |
| qgis-dev-3.44.4-r0.apk | 3760077 | 2025-Nov-10 05:32 |
| pympress-doc-1.8.6-r0.apk | 76291 | 2025-Nov-10 05:33 |
| pympress-1.8.6-r0.apk | 183853 | 2025-Nov-10 05:33 |
| pympress-lang-1.8.6-r0.apk | 60203 | 2025-Nov-10 05:33 |
| pympress-pyc-1.8.6-r0.apk | 186196 | 2025-Nov-10 05:33 |
| caprine-2.60.3-r6.apk | 17131822 | 2025-Nov-10 19:20 |
| code-oss-bash-completion-1.105.1-r1.apk | 2258 | 2025-Nov-10 19:20 |
| code-oss-1.105.1-r1.apk | 27203400 | 2025-Nov-10 19:20 |
| code-oss-zsh-completion-1.105.1-r1.apk | 2742 | 2025-Nov-10 19:20 |
| element-desktop-1.12.3-r1.apk | 35343681 | 2025-Nov-10 19:20 |
| freetube-0.23.12-r1.apk | 1679526 | 2025-Nov-10 19:20 |
| vscodium-bash-completion-1.105.17075-r1.apk | 2250 | 2025-Nov-10 19:20 |
| signal-desktop-7.76.0-r1.apk | 47161222 | 2025-Nov-10 19:20 |
| vscodium-1.105.17075-r1.apk | 29933123 | 2025-Nov-10 19:20 |
| vscodium-zsh-completion-1.105.17075-r1.apk | 2741 | 2025-Nov-10 19:20 |
| py3-ciso8601-2.3.3-r0.apk | 16923 | 2025-Nov-10 19:41 |
| austral-vim-0.2.0-r0.apk | 3744 | 2025-Nov-11 08:19 |
| austral-0.2.0-r0.apk | 1515416 | 2025-Nov-11 08:19 |
| austral-doc-0.2.0-r0.apk | 4901 | 2025-Nov-11 08:19 |
| austral-emacs-0.2.0-r0.apk | 2932 | 2025-Nov-11 08:19 |
| calibre-pyc-8.14.0-r0.apk | 2409 | 2025-Nov-11 08:36 |
| calibre-8.14.0-r0.apk | 43050599 | 2025-Nov-11 08:36 |
| calibre-bash-completion-8.14.0-r0.apk | 5427 | 2025-Nov-11 08:36 |
| calibre-doc-8.14.0-r0.apk | 2043919 | 2025-Nov-11 08:36 |
| calibre-zsh-completion-8.14.0-r0.apk | 46242 | 2025-Nov-11 08:36 |
| packwiz-doc-0_git20251102-r0.apk | 2311 | 2025-Nov-11 11:36 |
| packwiz-0_git20251102-r0.apk | 4718686 | 2025-Nov-11 11:36 |
| ovhcloud-cli-0.8.1-r0.apk | 7230827 | 2025-Nov-11 19:18 |
| py3-cython-test-exception-raiser-pyc-25.11.0-r0...> | 1879 | 2025-Nov-11 19:23 |
| py3-cython-test-exception-raiser-25.11.0-r0.apk | 18475 | 2025-Nov-11 19:23 |
| oha-1.11.0-r0.apk | 3140935 | 2025-Nov-11 20:23 |
| oha-doc-1.11.0-r0.apk | 2288 | 2025-Nov-11 20:23 |
| php82-pecl-swoole-6.1.2-r0.apk | 939806 | 2025-Nov-11 21:13 |
| php81-pecl-swoole-6.1.2-r0.apk | 937224 | 2025-Nov-11 21:13 |
| php81-pecl-swoole-dev-6.1.2-r0.apk | 225495 | 2025-Nov-11 21:13 |
| php82-pecl-swoole-dev-6.1.2-r0.apk | 225480 | 2025-Nov-11 21:13 |
| stalwart-mail-0.13.3-r1.apk | 15078317 | 2025-Nov-11 21:44 |
| stalwart-cli-0.13.3-r1.apk | 2609390 | 2025-Nov-11 21:44 |
| stalwart-mail-openrc-0.13.3-r1.apk | 2126 | 2025-Nov-11 21:44 |
| perl-badger-0.16-r1.apk | 259199 | 2025-Nov-11 23:13 |
| perl-badger-doc-0.16-r1.apk | 269059 | 2025-Nov-11 23:13 |
| update-sysfs-2.1.1_p7-r0.apk | 3203 | 2025-Nov-12 10:44 |
| davmail-6.5.1-r0.apk | 8720200 | 2025-Nov-16 23:39 |
| dart-stage0-3.10.0_alpha244_p0-r0.apk | 189731501 | 2025-Nov-16 23:39 |
| fcitx5-mozc-2.32.5994.102.20251109-r0.apk | 16402332 | 2025-Nov-16 23:39 |
| fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk | 20499 | 2025-Nov-16 23:39 |
| fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk | 8658 | 2025-Nov-16 23:39 |
| fwallet-1.2.0-r7.apk | 8602737 | 2025-Nov-16 23:39 |
| gdcm-3.2.2-r2.apk | 412317 | 2025-Nov-16 23:39 |
| gdcm-dev-3.2.2-r2.apk | 460736 | 2025-Nov-16 23:39 |
| gdcm-doc-3.2.2-r2.apk | 56719 | 2025-Nov-16 23:39 |
| gdcm-doc-pdf-3.2.2-r2.apk | 14814755 | 2025-Nov-16 23:39 |
| gdcm-doc-html-3.2.2-r2.apk | 9324300 | 2025-Nov-16 23:39 |
| ghc-current-cmds-9.15_pre20251106-r0.apk | 3549 | 2025-Nov-16 23:39 |
| ghc-current-9.15_pre20251106-r0.apk | 160427751 | 2025-Nov-16 23:39 |
| ghc-current-doc-9.15_pre20251106-r0.apk | 6140 | 2025-Nov-16 23:39 |
| httpx-1.7.2-r0.apk | 17167014 | 2025-Nov-16 23:39 |
| go-tools-0.39.0-r0.apk | 45290905 | 2025-Nov-16 23:39 |
| goguma-0.8.0-r4.apk | 4661217 | 2025-Nov-16 23:39 |
| goshs-1.1.2-r0.apk | 6323915 | 2025-Nov-16 23:39 |
| goshs-doc-1.1.2-r0.apk | 2316 | 2025-Nov-16 23:39 |
| httpx-doc-1.7.2-r0.apk | 2338 | 2025-Nov-16 23:39 |
| hyperrogue-doc-13.1g-r0.apk | 8172 | 2025-Nov-16 23:39 |
| hyperrogue-13.1g-r0.apk | 87509340 | 2025-Nov-16 23:39 |
| intiface-central-2.6.7-r3.apk | 10667418 | 2025-Nov-16 23:39 |
| java-gdcm-3.2.2-r2.apk | 630611 | 2025-Nov-16 23:39 |
| libgdcm-3.2.2-r2.apk | 2624663 | 2025-Nov-16 23:39 |
| mattermost-desktop-6.0.0-r0.apk | 5130783 | 2025-Nov-16 23:39 |
| mint-themes-2.3.2-r0.apk | 1931866 | 2025-Nov-16 23:39 |
| mint-themes-doc-2.3.2-r0.apk | 14624 | 2025-Nov-16 23:39 |
| mkosi-pyc-25.3_git20251111-r0.apk | 443832 | 2025-Nov-16 23:39 |
| mint-x-icons-1.7.4-r0.apk | 24059178 | 2025-Nov-16 23:39 |
| mint-x-icons-doc-1.7.4-r0.apk | 7774 | 2025-Nov-16 23:39 |
| mint-x-theme-2.3.2-r0.apk | 2833 | 2025-Nov-16 23:39 |
| mint-x-theme-gtk2-2.3.2-r0.apk | 463551 | 2025-Nov-16 23:39 |
| mint-x-theme-gtk3-2.3.2-r0.apk | 611678 | 2025-Nov-16 23:39 |
| mint-x-theme-gtk4-2.3.2-r0.apk | 515156 | 2025-Nov-16 23:39 |
| mint-x-theme-metacity-2.3.2-r0.apk | 6169 | 2025-Nov-16 23:39 |
| mint-x-theme-xfwm4-2.3.2-r0.apk | 32049 | 2025-Nov-16 23:39 |
| mint-y-icons-1.8.8-r0.apk | 76221935 | 2025-Nov-16 23:39 |
| mint-y-icons-doc-1.8.8-r0.apk | 12018 | 2025-Nov-16 23:39 |
| mint-y-theme-2.3.2-r0.apk | 3632 | 2025-Nov-16 23:39 |
| mint-y-theme-gtk2-2.3.2-r0.apk | 609086 | 2025-Nov-16 23:39 |
| mint-y-theme-gtk3-2.3.2-r0.apk | 2076966 | 2025-Nov-16 23:39 |
| mint-y-theme-gtk4-2.3.2-r0.apk | 1742468 | 2025-Nov-16 23:39 |
| mtail-openrc-3.2.24-r0.apk | 2030 | 2025-Nov-16 23:39 |
| mint-y-theme-metacity-2.3.2-r0.apk | 56223 | 2025-Nov-16 23:39 |
| mint-y-theme-xfwm4-2.3.2-r0.apk | 208288 | 2025-Nov-16 23:39 |
| mkosi-25.3_git20251111-r0.apk | 298497 | 2025-Nov-16 23:39 |
| mkosi-doc-25.3_git20251111-r0.apk | 76095 | 2025-Nov-16 23:39 |
| mtail-3.2.24-r0.apk | 13486982 | 2025-Nov-16 23:39 |
| rio-0.2.35-r0.apk | 10328336 | 2025-Nov-16 23:39 |
| nfcd-1.2.3-r1.apk | 329693 | 2025-Nov-16 23:39 |
| nfcd-dev-1.2.3-r1.apk | 24954 | 2025-Nov-16 23:39 |
| nfcd-systemd-1.2.3-r1.apk | 1866 | 2025-Nov-16 23:39 |
| onionshare-2.6.3-r1.apk | 183959 | 2025-Nov-16 23:39 |
| onionshare-desktop-2.6.3-r1.apk | 1361180 | 2025-Nov-16 23:39 |
| onionshare-pyc-2.6.3-r1.apk | 246251 | 2025-Nov-16 23:39 |
| petitboot-1.15-r0.apk | 203285 | 2025-Nov-16 23:39 |
| petitboot-dbg-1.15-r0.apk | 615699 | 2025-Nov-16 23:39 |
| petitboot-doc-1.15-r0.apk | 8246 | 2025-Nov-16 23:39 |
| phosh-tour-0.50.0-r1.apk | 40023 | 2025-Nov-16 23:39 |
| phosh-tour-lang-0.50.0-r1.apk | 33685 | 2025-Nov-16 23:39 |
| phosh-tour-systemd-0.50.0-r1.apk | 1821 | 2025-Nov-16 23:39 |
| php81-pecl-yaml-2.3.0-r0.apk | 18951 | 2025-Nov-16 23:39 |
| php82-pecl-yaml-2.3.0-r0.apk | 19114 | 2025-Nov-16 23:39 |
| polycule-0.3.4-r0.apk | 30645094 | 2025-Nov-16 23:39 |
| prometheus-fastly-exporter-10.0.0-r0.apk | 4736598 | 2025-Nov-16 23:39 |
| py3-caldav-2.1.2-r0.apk | 96798 | 2025-Nov-16 23:39 |
| py3-caldav-pyc-2.1.2-r0.apk | 130579 | 2025-Nov-16 23:39 |
| py3-gdcm-3.2.2-r2.apk | 674332 | 2025-Nov-16 23:39 |
| py3-pymupdf-1.26.4-r1.apk | 327334 | 2025-Nov-16 23:39 |
| py3-pymupdf-pyc-1.26.4-r1.apk | 512262 | 2025-Nov-16 23:39 |
| qt6ct-0.11-r1.apk | 197058 | 2025-Nov-16 23:39 |
| rio-doc-0.2.35-r0.apk | 2339 | 2025-Nov-16 23:39 |
| rio-terminfo-0.2.35-r0.apk | 3494 | 2025-Nov-16 23:39 |
| runst-0.2.0-r0.apk | 1869568 | 2025-Nov-16 23:39 |
| runst-doc-0.2.0-r0.apk | 8007 | 2025-Nov-16 23:39 |
| sly-1.0.0-r4.apk | 4174731 | 2025-Nov-16 23:39 |
| warpinator-1.8.10-r1.apk | 222883 | 2025-Nov-16 23:39 |
| warpinator-lang-1.8.10-r1.apk | 237240 | 2025-Nov-16 23:39 |
| warpinator-nemo-1.8.10-r1.apk | 4405 | 2025-Nov-16 23:39 |
| ghc-release-cabal-3.17.0.0_pre20251116-r0.apk | 9053588 | 2025-Nov-17 10:23 |
| ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk | 31621 | 2025-Nov-17 10:23 |
| libvalkey-tls-0.2.1-r0.apk | 6807 | 2025-Nov-17 11:56 |
| libvalkey-0.2.1-r0.apk | 59348 | 2025-Nov-17 11:56 |
| libvalkey-dev-0.2.1-r0.apk | 326355 | 2025-Nov-17 11:56 |
| pam-pkcs11-systemd-0.6.13-r1.apk | 1799 | 2025-Nov-17 13:13 |
| 3proxy-0.9.5-r1.apk | 374924 | 2025-Nov-17 13:13 |
| 3proxy-doc-0.9.5-r1.apk | 27011 | 2025-Nov-17 13:13 |
| 3proxy-openrc-0.9.5-r1.apk | 1731 | 2025-Nov-17 13:13 |
| 3proxy-systemd-0.9.5-r1.apk | 1893 | 2025-Nov-17 13:13 |
| mediascanner2-0.118-r4.apk | 274512 | 2025-Nov-17 13:13 |
| mediascanner2-systemd-0.118-r4.apk | 1837 | 2025-Nov-17 13:13 |
| pam-pkcs11-0.6.13-r1.apk | 272873 | 2025-Nov-17 13:13 |
| bootchart2-0.14.9-r1.apk | 139536 | 2025-Nov-17 13:13 |
| bootchart2-systemd-0.14.9-r1.apk | 2274 | 2025-Nov-17 13:13 |
| kpatch-0.9.11-r1.apk | 134578 | 2025-Nov-17 13:13 |
| kpatch-doc-0.9.11-r1.apk | 3584 | 2025-Nov-17 13:13 |
| kpatch-systemd-0.9.11-r1.apk | 1822 | 2025-Nov-17 13:13 |
| krdp-6.5.2-r1.apk | 201191 | 2025-Nov-17 13:13 |
| krdp-lang-6.5.2-r1.apk | 64460 | 2025-Nov-17 13:13 |
| krdp-systemd-6.5.2-r1.apk | 2185 | 2025-Nov-17 13:13 |
| lomiri-indicator-location-25.4.22-r1.apk | 21982 | 2025-Nov-17 13:13 |
| lomiri-indicator-location-lang-25.4.22-r1.apk | 27917 | 2025-Nov-17 13:13 |
| lomiri-indicator-location-systemd-25.4.22-r1.apk | 1823 | 2025-Nov-17 13:13 |
| lomiri-location-service-3.3.0-r5.apk | 2143447 | 2025-Nov-17 13:13 |
| lomiri-location-service-dev-3.3.0-r5.apk | 30563 | 2025-Nov-17 13:13 |
| pam-pkcs11-doc-0.6.13-r1.apk | 14551 | 2025-Nov-17 13:13 |
| lomiri-location-service-doc-3.3.0-r5.apk | 2949 | 2025-Nov-17 13:13 |
| lomiri-location-service-lang-3.3.0-r5.apk | 26409 | 2025-Nov-17 13:13 |
| lomiri-location-service-systemd-3.3.0-r5.apk | 2189 | 2025-Nov-17 13:13 |
| adguardhome-0.107.69-r0.apk | 11078055 | 2025-Nov-17 14:08 |
| adguardhome-openrc-0.107.69-r0.apk | 2246 | 2025-Nov-17 14:08 |
| mkbrr-1.18.0-r0.apk | 4111867 | 2025-Nov-17 14:11 |
| sonarr-4.0.16.2944-r0.apk | 28600333 | 2025-Nov-17 14:37 |
| sonarr-openrc-4.0.16.2944-r0.apk | 2091 | 2025-Nov-17 14:37 |
| radarr-6.0.4.10291-r0.apk | 28520076 | 2025-Nov-17 14:41 |
| radarr-openrc-6.0.4.10291-r0.apk | 2093 | 2025-Nov-17 14:41 |
| nzbget-openrc-25.4-r0.apk | 2124 | 2025-Nov-17 14:43 |
| nzbget-25.4-r0.apk | 5055783 | 2025-Nov-17 14:43 |
| perl-xml-feed-1.0.0-r0.apk | 14659 | 2025-Nov-17 18:33 |
| perl-xml-feed-doc-1.0.0-r0.apk | 13183 | 2025-Nov-17 18:33 |
| miracle-wm-0.8.2-r0.apk | 461252 | 2025-Nov-17 22:42 |
| miracle-wm-dev-0.8.2-r0.apk | 15866 | 2025-Nov-17 22:42 |
| sdb-2.2.2-r0.apk | 65242 | 2025-Nov-18 05:36 |
| sdb-dev-2.2.2-r0.apk | 45381 | 2025-Nov-18 05:36 |
| sdb-doc-2.2.2-r0.apk | 15507 | 2025-Nov-18 05:36 |
| sdb-libs-2.2.2-r0.apk | 73126 | 2025-Nov-18 05:36 |
| sdb-static-2.2.2-r0.apk | 98375 | 2025-Nov-18 05:36 |
| hplip-3.25.8-r0.apk | 6011737 | 2025-Nov-18 06:12 |
| hplip-doc-3.25.8-r0.apk | 31855 | 2025-Nov-18 06:12 |
| hplip-libs-3.25.8-r0.apk | 116948 | 2025-Nov-18 06:12 |
| hplip-ppd-3.25.8-r0.apk | 17611736 | 2025-Nov-18 06:12 |
| sane-backend-hpaio-3.25.8-r0.apk | 81793 | 2025-Nov-18 06:12 |
| qt6-qtgraphs-6.10.0-r0.apk | 1118369 | 2025-Nov-18 08:45 |
| qt6-qtgraphs-dev-6.10.0-r0.apk | 121139 | 2025-Nov-18 08:45 |
| grpc-java-1.77.0-r0.apk | 79421 | 2025-Nov-18 15:11 |
| haproxy-dataplaneapi2-2.9.18-r1.apk | 10179982 | 2025-Nov-18 15:11 |
| haproxy-dataplaneapi2-openrc-2.9.18-r1.apk | 2182 | 2025-Nov-18 15:11 |
| rygel-45.0-r1.apk | 791667 | 2025-Nov-18 18:30 |
| rygel-dev-45.0-r1.apk | 43690 | 2025-Nov-18 18:30 |
| rygel-doc-45.0-r1.apk | 9905 | 2025-Nov-18 18:30 |
| rygel-lang-45.0-r1.apk | 597647 | 2025-Nov-18 18:30 |
| rygel-systemd-45.0-r1.apk | 1790 | 2025-Nov-18 18:30 |
| gtimelog-0.12_git20251114-r0.apk | 224514 | 2025-Nov-18 20:54 |
| gtimelog-pyc-0.12_git20251114-r0.apk | 104774 | 2025-Nov-18 20:54 |
| iotas-0.12.5-r0.apk | 230213 | 2025-Nov-18 20:54 |
| iotas-lang-0.12.5-r0.apk | 163780 | 2025-Nov-18 20:54 |
| iotas-pyc-0.12.5-r0.apk | 356075 | 2025-Nov-18 20:54 |
| mautrix-linkedin-0.2511.0-r0.apk | 6273489 | 2025-Nov-18 21:31 |
| mautrix-linkedin-doc-0.2511.0-r0.apk | 13525 | 2025-Nov-18 21:31 |
| mautrix-linkedin-openrc-0.2511.0-r0.apk | 2016 | 2025-Nov-18 21:31 |
| mautrix-zulip-0.2511.0-r0.apk | 6393156 | 2025-Nov-18 21:31 |
| mautrix-zulip-doc-0.2511.0-r0.apk | 13523 | 2025-Nov-18 21:31 |
| mautrix-zulip-openrc-0.2511.0-r0.apk | 2007 | 2025-Nov-18 21:31 |
| semaphoreui-2.16.37-r0.apk | 16320809 | 2025-Nov-19 00:20 |
| semaphoreui-bash-completion-2.16.37-r0.apk | 6247 | 2025-Nov-19 00:20 |
| semaphoreui-doc-2.16.37-r0.apk | 2375 | 2025-Nov-19 00:20 |
| semaphoreui-fish-completion-2.16.37-r0.apk | 4432 | 2025-Nov-19 00:20 |
| semaphoreui-openrc-2.16.37-r0.apk | 2145 | 2025-Nov-19 00:20 |
| semaphoreui-zsh-completion-2.16.37-r0.apk | 4140 | 2025-Nov-19 00:20 |
| zfs-src-2.3.5-r0.apk | 34492257 | 2025-Nov-19 00:47 |
| phpactor-2025.10.17.0-r0.apk | 3757138 | 2025-Nov-19 03:57 |
| wine-staging-10.19-r0.apk | 75294973 | 2025-Nov-19 04:17 |
| wine-staging-dev-10.19-r0.apk | 9628694 | 2025-Nov-19 04:17 |
| wine-staging-doc-10.19-r0.apk | 43728 | 2025-Nov-19 04:17 |
| qt5ct-1.9-r0.apk | 230860 | 2025-Nov-19 04:35 |
| qt5ct-dev-1.9-r0.apk | 1579 | 2025-Nov-19 04:35 |
| pdfcrack-0.21-r0.apk | 32259 | 2025-Nov-19 08:08 |
| protoc-gen-js-4.0.1-r0.apk | 1749332 | 2025-Nov-19 10:35 |
| kanidm-1.8.1-r0.apk | 1305 | 2025-Nov-19 15:16 |
| kanidm-bash-completion-1.8.1-r0.apk | 27193 | 2025-Nov-19 15:16 |
| kanidm-clients-1.8.1-r0.apk | 3633980 | 2025-Nov-19 15:16 |
| kanidm-openrc-1.8.1-r0.apk | 2120 | 2025-Nov-19 15:16 |
| kanidm-server-1.8.1-r0.apk | 15017121 | 2025-Nov-19 15:16 |
| kanidm-unixd-clients-1.8.1-r0.apk | 8131151 | 2025-Nov-19 15:16 |
| kanidm-zsh-completion-1.8.1-r0.apk | 37685 | 2025-Nov-19 15:16 |
| sqlmap-1.9.11-r0.apk | 7072595 | 2025-Nov-19 18:05 |
| sqlmap-pyc-1.9.11-r0.apk | 1225980 | 2025-Nov-19 18:05 |
| librespot-0.8.0-r0.apk | 2272179 | 2025-Nov-19 18:26 |
| librespot-openrc-0.8.0-r0.apk | 1915 | 2025-Nov-19 18:26 |
| py3-telegram-bot-22.5-r0.apk | 509419 | 2025-Nov-19 18:29 |
| py3-telegram-bot-pyc-22.5-r0.apk | 821734 | 2025-Nov-19 18:29 |
| dart-3.10.1-r0.apk | 45301614 | 2025-Nov-19 20:11 |
| dart-sdk-3.10.1-r0.apk | 141725867 | 2025-Nov-19 20:11 |
| dartaotruntime-3.10.1-r0.apk | 1483916 | 2025-Nov-19 20:11 |
| flutter-3.38.2-r0.apk | 1298 | 2025-Nov-19 20:11 |
| flutter-common-3.38.2-r0.apk | 37742113 | 2025-Nov-19 20:11 |
| flutter-desktop-3.38.2-r0.apk | 32550310 | 2025-Nov-19 20:11 |
| flutter-developer-3.38.2-r0.apk | 2501222 | 2025-Nov-19 20:11 |
| flutter-glfw-3.38.2-r0.apk | 5409282 | 2025-Nov-19 20:11 |
| flutter-gtk-3.38.2-r0.apk | 5437969 | 2025-Nov-19 20:11 |
| flutter-tool-3.38.2-r0.apk | 16985945 | 2025-Nov-19 20:11 |
| flutter-tool-developer-3.38.2-r0.apk | 1741 | 2025-Nov-19 20:11 |
| lutgen-1.0.1-r0.apk | 1786601 | 2025-Nov-19 20:11 |
| lutgen-bash-completion-1.0.1-r0.apk | 1783 | 2025-Nov-19 20:11 |
| lutgen-doc-1.0.1-r0.apk | 4563 | 2025-Nov-19 20:11 |
| lutgen-fish-completion-1.0.1-r0.apk | 1828 | 2025-Nov-19 20:11 |
| lutgen-zsh-completion-1.0.1-r0.apk | 1750 | 2025-Nov-19 20:11 |
| regclient-0.10.0-r0.apk | 13600205 | 2025-Nov-19 22:01 |
| barman-3.16.2-r0.apk | 387272 | 2025-Nov-20 21:27 |
| barman-bash-completion-3.16.2-r0.apk | 1682 | 2025-Nov-20 21:27 |
| barman-doc-3.16.2-r0.apk | 90990 | 2025-Nov-20 21:27 |
| barman-pyc-3.16.2-r0.apk | 608917 | 2025-Nov-20 21:27 |
| cosmic-app-library-1.0.0_beta7-r0.apk | 7161324 | 2025-Nov-20 21:27 |
| cosmic-applets-1.0.0_beta7-r0.apk | 9899964 | 2025-Nov-20 21:27 |
| cosmic-bg-1.0.0_beta7-r0.apk | 1777154 | 2025-Nov-20 21:27 |
| cosmic-session-systemd-1.0.0_beta7-r0.apk | 1814 | 2025-Nov-20 21:27 |
| cosmic-comp-1.0.0_beta7-r0.apk | 6547763 | 2025-Nov-20 21:27 |
| cosmic-edit-1.0.0_beta7-r0.apk | 10677000 | 2025-Nov-20 21:27 |
| cosmic-files-1.0.0_beta7-r0.apk | 19659619 | 2025-Nov-20 21:27 |
| cosmic-greeter-1.0.0_beta7-r1.apk | 11138727 | 2025-Nov-20 21:27 |
| cosmic-greeter-openrc-1.0.0_beta7-r1.apk | 2014 | 2025-Nov-20 21:27 |
| cosmic-greeter-systemd-1.0.0_beta7-r1.apk | 2136 | 2025-Nov-20 21:27 |
| cosmic-icons-1.0.0_beta7-r0.apk | 246965 | 2025-Nov-20 21:27 |
| cosmic-idle-1.0.0_beta7-r0.apk | 1085615 | 2025-Nov-20 21:27 |
| cosmic-initial-setup-1.0.0_beta7-r0.apk | 12259298 | 2025-Nov-20 21:27 |
| cosmic-launcher-1.0.0_beta7-r0.apk | 5703914 | 2025-Nov-20 21:27 |
| cosmic-notifications-1.0.0_beta7-r0.apk | 5684398 | 2025-Nov-20 21:27 |
| cosmic-osd-1.0.0_beta7-r0.apk | 6010723 | 2025-Nov-20 21:27 |
| cosmic-panel-1.0.0_beta7-r0.apk | 3709806 | 2025-Nov-20 21:27 |
| cosmic-player-1.0.0_beta7-r0.apk | 6672660 | 2025-Nov-20 21:27 |
| cosmic-randr-1.0.0_beta7-r0.apk | 510505 | 2025-Nov-20 21:27 |
| cosmic-screenshot-1.0.0_beta7-r0.apk | 874583 | 2025-Nov-20 21:27 |
| cosmic-session-1.0.0_beta7-r0.apk | 1159061 | 2025-Nov-20 21:27 |
| dart-sass-1.94.2-r0.apk | 1452888 | 2025-Nov-20 21:27 |
| cosmic-settings-1.0.0_beta7-r0.apk | 12220738 | 2025-Nov-20 21:27 |
| cosmic-settings-daemon-1.0.0_beta7-r0.apk | 1331665 | 2025-Nov-20 21:27 |
| cosmic-store-1.0.0_beta7-r0.apk | 8559882 | 2025-Nov-20 21:27 |
| cosmic-term-1.0.0_beta7-r0.apk | 9210267 | 2025-Nov-20 21:27 |
| cosmic-workspaces-1.0.0_beta7-r0.apk | 6546669 | 2025-Nov-20 21:27 |
| elastic-beats-9.2.0-r0.apk | 1303 | 2025-Nov-20 21:27 |
| envconsul-0.13.4-r0.apk | 4912614 | 2025-Nov-20 21:27 |
| perl-net-patricia-doc-1.24-r0.apk | 6437 | 2025-Nov-20 21:27 |
| filebeat-9.2.0-r0.apk | 36739541 | 2025-Nov-20 21:27 |
| filebeat-openrc-9.2.0-r0.apk | 2070 | 2025-Nov-20 21:27 |
| kiesel-0_git20251119-r0.apk | 5996403 | 2025-Nov-20 21:27 |
| kiesel-doc-0_git20251119-r0.apk | 3808 | 2025-Nov-20 21:27 |
| lxqt-wayland-session-0.3.0-r0.apk | 347124 | 2025-Nov-20 21:27 |
| lxqt-wayland-session-doc-0.3.0-r0.apk | 29705 | 2025-Nov-20 21:27 |
| metricbeat-9.2.0-r0.apk | 47571799 | 2025-Nov-20 21:27 |
| metricbeat-openrc-9.2.0-r0.apk | 2101 | 2025-Nov-20 21:27 |
| perl-net-patricia-1.24-r0.apk | 20852 | 2025-Nov-20 21:27 |
| perl-sys-virt-11.8.0-r0.apk | 198743 | 2025-Nov-20 21:27 |
| perl-sys-virt-doc-11.8.0-r0.apk | 108143 | 2025-Nov-20 21:27 |
| py3-joserfc-1.4.3-r0.apk | 54515 | 2025-Nov-20 21:27 |
| py3-joserfc-pyc-1.4.3-r0.apk | 111116 | 2025-Nov-20 21:27 |
| py3-sssd-2.11.1-r2.apk | 63193 | 2025-Nov-20 21:27 |
| py3-sssd-pyc-2.11.1-r2.apk | 49789 | 2025-Nov-20 21:27 |
| sssd-2.11.1-r2.apk | 2198226 | 2025-Nov-20 21:27 |
| sssd-dev-2.11.1-r2.apk | 15160 | 2025-Nov-20 21:27 |
| sssd-openrc-2.11.1-r2.apk | 1719 | 2025-Nov-20 21:27 |
| tenv-4.8.3-r0.apk | 9603990 | 2025-Nov-20 21:27 |
| tenv-bash-completion-4.8.3-r0.apk | 6245 | 2025-Nov-20 21:27 |
| tenv-fish-completion-4.8.3-r0.apk | 4424 | 2025-Nov-20 21:27 |
| tenv-zsh-completion-4.8.3-r0.apk | 4139 | 2025-Nov-20 21:27 |
| volatility3-2.26.2-r0.apk | 1072691 | 2025-Nov-20 21:27 |
| volatility3-pyc-2.26.2-r0.apk | 1310944 | 2025-Nov-20 21:27 |
| xdg-desktop-portal-cosmic-1.0.0_beta7-r0.apk | 9529968 | 2025-Nov-20 21:27 |
| xdg-desktop-portal-cosmic-systemd-1.0.0_beta7-r0..> | 1809 | 2025-Nov-20 21:27 |
| melange-zsh-completion-0.34.0-r0.apk | 4144 | 2025-Nov-20 22:51 |
| melange-fish-completion-0.34.0-r0.apk | 4439 | 2025-Nov-20 22:51 |
| melange-0.34.0-r0.apk | 11901198 | 2025-Nov-20 22:51 |
| melange-bash-completion-0.34.0-r0.apk | 6790 | 2025-Nov-20 22:51 |
| lomiri-telephony-service-lang-0.6.1-r13.apk | 110177 | 2025-Nov-20 23:02 |
| lomiri-history-service-dev-0.6-r18.apk | 11398 | 2025-Nov-20 23:02 |
| lomiri-history-service-0.6-r18.apk | 357848 | 2025-Nov-20 23:02 |
| lomiri-telephony-service-0.6.1-r13.apk | 992836 | 2025-Nov-20 23:02 |
| perl-net-amqp-rabbitmq-2.40014-r1.apk | 77948 | 2025-Nov-20 23:47 |
| perl-net-amqp-rabbitmq-doc-2.40014-r1.apk | 11069 | 2025-Nov-20 23:47 |
| refine-0.6.3-r0.apk | 35036 | 2025-Nov-20 23:49 |
| refine-lang-0.6.3-r0.apk | 32644 | 2025-Nov-20 23:49 |
| php81-pecl-maxminddb-1.13.0-r0.apk | 8723 | 2025-Nov-21 00:42 |
| php82-pecl-maxminddb-1.13.0-r0.apk | 8725 | 2025-Nov-21 00:42 |
| resources-lang-1.9.1-r0.apk | 215783 | 2025-Nov-21 09:53 |
| resources-1.9.1-r0.apk | 2320236 | 2025-Nov-21 09:53 |
| lefthook-2.0.4-r0.apk | 5707080 | 2025-Nov-21 09:56 |
| lefthook-doc-2.0.4-r0.apk | 2303 | 2025-Nov-21 09:56 |
| zulip-desktop-5.12.2-r0.apk | 2492081 | 2025-Nov-21 09:56 |
| xone-src-0.4.11-r0.apk | 58976 | 2025-Nov-21 10:28 |
| hyfetch-zsh-completion-2.0.5-r0.apk | 2629 | 2025-Nov-21 22:33 |
| biome-2.3.7-r0.apk | 7235469 | 2025-Nov-21 22:33 |
| charta-0.8.2-r0.apk | 2008649 | 2025-Nov-21 22:33 |
| copyq-13.0.0-r0.apk | 2739064 | 2025-Nov-21 22:33 |
| copyq-bash-completion-13.0.0-r0.apk | 2326 | 2025-Nov-21 22:33 |
| copyq-doc-13.0.0-r0.apk | 3584 | 2025-Nov-21 22:33 |
| hyfetch-2.0.5-r0.apk | 848581 | 2025-Nov-21 22:33 |
| hyfetch-bash-completion-2.0.5-r0.apk | 3410 | 2025-Nov-21 22:33 |
| hyfetch-doc-2.0.5-r0.apk | 20078 | 2025-Nov-21 22:33 |
| ruby-minitest-server-1.0.9-r0.apk | 5523 | 2025-Nov-21 22:33 |
| neocmakelsp-fish-completion-0.9.0-r0.apk | 1662 | 2025-Nov-22 13:30 |
| neocmakelsp-zsh-completion-0.9.0-r0.apk | 1863 | 2025-Nov-22 13:30 |
| neocmakelsp-0.9.0-r0.apk | 1612481 | 2025-Nov-22 13:30 |
| neocmakelsp-bash-completion-0.9.0-r0.apk | 2032 | 2025-Nov-22 13:30 |
| neocmakelsp-doc-0.9.0-r0.apk | 5974 | 2025-Nov-22 13:30 |
| opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk | 67461 | 2025-Nov-22 13:32 |
| opentelemetry-cpp-exporter-otlp-common-1.24.0-r0..> | 46773 | 2025-Nov-22 13:32 |
| opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk | 52759 | 2025-Nov-22 13:32 |
| opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk | 94006 | 2025-Nov-22 13:32 |
| opentelemetry-cpp-1.24.0-r0.apk | 635004 | 2025-Nov-22 13:32 |
| opentelemetry-cpp-dev-1.24.0-r0.apk | 533793 | 2025-Nov-22 13:32 |
| py3-beartype-0.22.6-r0.apk | 1064924 | 2025-Nov-22 13:32 |
| py3-beartype-pyc-0.22.6-r0.apk | 759023 | 2025-Nov-22 13:32 |
| cargo-leptos-0.3.0-r0.apk | 7933563 | 2025-Nov-22 13:55 |
| cargo-leptos-doc-0.3.0-r0.apk | 2279 | 2025-Nov-22 13:55 |
| elementary-feedback-lang-8.1.0-r0.apk | 49639 | 2025-Nov-22 15:38 |
| elementary-feedback-8.1.0-r0.apk | 48470 | 2025-Nov-22 15:38 |
| tinymist-0.14.2-r0.apk | 20074068 | 2025-Nov-22 16:17 |
| typlite-0.14.2-r0.apk | 15649426 | 2025-Nov-22 16:17 |
| dotenv-linter-4.0.0-r0.apk | 1451514 | 2025-Nov-22 16:24 |
| bazel7-bash-completion-7.7.1-r0.apk | 49140 | 2025-Nov-22 18:43 |
| bazel7-7.7.1-r0.apk | 30528938 | 2025-Nov-22 18:43 |
| codec2-1.2.0-r1.apk | 690623 | 2025-Nov-22 18:51 |
| codec2-dev-1.2.0-r1.apk | 15782 | 2025-Nov-22 18:51 |
| gnome-user-share-lang-48.2-r0.apk | 69541 | 2025-Nov-23 10:47 |
| gnome-user-share-48.2-r0.apk | 325747 | 2025-Nov-23 10:47 |
| gnome-user-share-systemd-48.2-r0.apk | 1775 | 2025-Nov-23 10:47 |
| py3-evalidate-2.1.0-r0.apk | 13820 | 2025-Nov-23 18:24 |
| py3-evalidate-pyc-2.1.0-r0.apk | 12301 | 2025-Nov-23 18:24 |
| naabu-2.3.7-r0.apk | 11589588 | 2025-Nov-23 21:00 |
| naabu-doc-2.3.7-r0.apk | 2334 | 2025-Nov-23 21:00 |
| py3-dbus-fast-pyc-3.1.2-r0.apk | 133311 | 2025-Nov-23 21:15 |
| py3-dbus-fast-3.1.2-r0.apk | 789692 | 2025-Nov-23 21:15 |
| py3-dbus-fast-doc-3.1.2-r0.apk | 6394 | 2025-Nov-23 21:15 |
| py3-knowit-pyc-0.5.11-r0.apk | 62984 | 2025-Nov-24 00:46 |
| py3-knowit-0.5.11-r0.apk | 32438 | 2025-Nov-24 00:46 |
| py3-trakit-0.2.5-r0.apk | 19705 | 2025-Nov-24 00:46 |
| py3-trakit-pyc-0.2.5-r0.apk | 17081 | 2025-Nov-24 00:46 |
| subliminal-2.4.0-r0.apk | 91929 | 2025-Nov-24 00:46 |
| subliminal-pyc-2.4.0-r0.apk | 183270 | 2025-Nov-24 00:46 |
| tintin-2.02.51-r0.apk | 1919308 | 2025-Nov-24 12:41 |
| xfce4-panel-profiles-lang-1.1.1-r1.apk | 54761 | 2025-Nov-24 12:53 |
| xfce4-panel-profiles-doc-1.1.1-r1.apk | 20426 | 2025-Nov-24 12:53 |
| xfce4-panel-profiles-1.1.1-r1.apk | 58972 | 2025-Nov-24 12:53 |
| guake-lang-3.10.1-r0.apk | 198934 | 2025-Nov-24 18:07 |
| guake-pyc-3.10.1-r0.apk | 190627 | 2025-Nov-24 18:07 |
| guake-3.10.1-r0.apk | 311768 | 2025-Nov-24 18:07 |
| dolt-1.78.2-r0.apk | 38294946 | 2025-Nov-24 22:15 |
| electron-dev-39.2.3-r0.apk | 349073 | 2025-Nov-25 00:42 |
| electron-lang-39.2.3-r0.apk | 11297945 | 2025-Nov-25 00:42 |
| electron-39.2.3-r0.apk | 104022761 | 2025-Nov-25 00:42 |
| APKINDEX.tar.gz | 826403 | 2025-Nov-25 00:59 |
| gst-thumbnailers-0_git20251125-r0.apk | 524416 | 2025-Nov-25 00:59 |
| ibus-typing-booster-pyc-2.28.6-r0.apk | 1443112 | 2025-Nov-25 00:59 |
| ibus-typing-booster-2.28.6-r0.apk | 13271469 | 2025-Nov-25 00:59 |
| ibus-typing-booster-lang-2.28.6-r0.apk | 323161 | 2025-Nov-25 00:59 |