Parent directory/ | - | - |
3proxy-0.9.4-r2.apk | 400321 | 2025-Apr-04 05:42 |
3proxy-doc-0.9.4-r2.apk | 25010 | 2025-Apr-04 05:42 |
3proxy-openrc-0.9.4-r2.apk | 1408 | 2025-Apr-04 05:42 |
66-0.8.2.1-r0.apk | 325021 | 2025-Jun-05 23:37 |
66-dbg-0.8.2.1-r0.apk | 756136 | 2025-Jun-05 23:37 |
66-dev-0.8.2.1-r0.apk | 1804664 | 2025-Jun-05 23:37 |
66-doc-0.8.2.1-r0.apk | 194674 | 2025-Jun-05 23:37 |
66-init-0.8.2.1-r0.apk | 1309 | 2025-Jun-05 23:37 |
66-tools-0.1.2.0-r0.apk | 72761 | 2025-Jun-01 15:45 |
66-tools-dbg-0.1.2.0-r0.apk | 108606 | 2025-Jun-01 15:45 |
66-tools-dev-0.1.2.0-r0.apk | 1789 | 2025-Jun-01 15:45 |
66-tools-doc-0.1.2.0-r0.apk | 40044 | 2025-Jun-01 15:45 |
66-tools-nsrules-0.1.2.0-r0.apk | 2657 | 2025-Jun-01 15:45 |
9base-6-r2.apk | 2119128 | 2024-Oct-25 20:45 |
9base-doc-6-r2.apk | 64985 | 2024-Oct-25 20:45 |
9base-troff-6-r2.apk | 506665 | 2024-Oct-25 20:45 |
APKINDEX.tar.gz | 965498 | 2025-Sep-03 00:49 |
a2jmidid-9-r3.apk | 32095 | 2024-Oct-25 20:45 |
a2jmidid-doc-9-r3.apk | 4286 | 2024-Oct-25 20:45 |
abc-0_git20240102-r0.apk | 5476291 | 2024-Oct-25 20:45 |
abnfgen-0.21-r0.apk | 19032 | 2025-May-27 23:25 |
abnfgen-doc-0.21-r0.apk | 4928 | 2025-May-27 23:25 |
ace-of-penguins-1.4-r3.apk | 191646 | 2024-Oct-25 20:45 |
ace-of-penguins-doc-1.4-r3.apk | 49485 | 2024-Oct-25 20:45 |
acmetool-0.2.2-r15.apk | 4519414 | 2025-Aug-08 16:53 |
acmetool-doc-0.2.2-r15.apk | 47513 | 2025-Aug-08 16:53 |
adguardhome-0.107.65-r0.apk | 11038840 | 2025-Sep-01 10:56 |
adguardhome-openrc-0.107.65-r0.apk | 1979 | 2025-Sep-01 10:56 |
adjtimex-1.29-r0.apk | 21054 | 2024-Oct-25 20:45 |
adjtimex-doc-1.29-r0.apk | 7256 | 2024-Oct-25 20:45 |
admesh-0.98.5-r0.apk | 29234 | 2024-Oct-25 20:45 |
admesh-dev-0.98.5-r0.apk | 3876 | 2024-Oct-25 20:45 |
admesh-doc-0.98.5-r0.apk | 23725 | 2024-Oct-25 20:45 |
advancemame-3.9-r4.apk | 14128328 | 2024-Oct-25 20:45 |
advancemame-data-3.9-r4.apk | 6056814 | 2024-Oct-25 20:45 |
advancemame-doc-3.9-r4.apk | 382273 | 2024-Oct-25 20:45 |
advancemame-menu-3.9-r4.apk | 957982 | 2024-Oct-25 20:45 |
advancemame-mess-3.9-r4.apk | 4040821 | 2024-Oct-25 20:45 |
advancescan-1.18-r1.apk | 280935 | 2024-Oct-25 20:45 |
advancescan-doc-1.18-r1.apk | 7174 | 2024-Oct-25 20:45 |
afetch-2.2.0-r1.apk | 9513 | 2024-Oct-25 20:45 |
afetch-doc-2.2.0-r1.apk | 13638 | 2024-Oct-25 20:45 |
afew-3.0.1-r0.apk | 74627 | 2025-May-18 22:33 |
afew-doc-3.0.1-r0.apk | 12428 | 2025-May-18 22:33 |
agate-3.3.18-r0.apk | 773823 | 2025-Aug-06 03:55 |
agate-openrc-3.3.18-r0.apk | 1740 | 2025-Aug-06 03:55 |
agrep-0.8.0-r2.apk | 8478 | 2024-Oct-25 20:45 |
agrep-doc-0.8.0-r2.apk | 3943 | 2024-Oct-25 20:45 |
alarmwakeup-0.2.1-r0.apk | 7235 | 2024-Oct-25 20:45 |
alarmwakeup-dbg-0.2.1-r0.apk | 17443 | 2024-Oct-25 20:45 |
alarmwakeup-dev-0.2.1-r0.apk | 2352 | 2024-Oct-25 20:45 |
alarmwakeup-libs-0.2.1-r0.apk | 4818 | 2024-Oct-25 20:45 |
alarmwakeup-utils-0.2.1-r0.apk | 4489 | 2024-Oct-25 20:45 |
alertmanager-irc-relay-0.5.1-r0.apk | 4816851 | 2025-Jul-31 07:53 |
alertmanager-irc-relay-openrc-0.5.1-r0.apk | 1770 | 2025-Jul-31 07:53 |
alpine-lift-0.2.0-r25.apk | 3691577 | 2025-Aug-08 16:53 |
alps-0_git20230807-r14.apk | 5596658 | 2025-Aug-08 16:53 |
alps-openrc-0_git20230807-r14.apk | 1799 | 2025-Aug-08 16:53 |
alttab-1.7.1-r0.apk | 39691 | 2024-Oct-25 20:45 |
alttab-doc-1.7.1-r0.apk | 10281 | 2024-Oct-25 20:45 |
amber-0.4.0-r0.apk | 592539 | 2025-Jun-19 00:22 |
amber-mpris-1.2.9-r0.apk | 232634 | 2024-Dec-22 16:47 |
amber-mpris-dev-1.2.9-r0.apk | 6611 | 2024-Dec-22 16:47 |
amdgpu-fan-0.1.0-r5.apk | 14083 | 2024-Oct-25 20:45 |
amdgpu-fan-pyc-0.1.0-r5.apk | 9582 | 2024-Oct-25 20:45 |
amdgpu_top-0.11.0-r0.apk | 7370208 | 2025-Sep-03 00:49 |
amdgpu_top-doc-0.11.0-r0.apk | 3812 | 2025-Sep-03 00:49 |
amiitool-2-r2.apk | 6754 | 2024-Oct-25 20:45 |
ampy-1.1.0-r6.apk | 15514 | 2025-Mar-19 13:43 |
ampy-doc-1.1.0-r6.apk | 3928 | 2025-Mar-19 13:43 |
ampy-pyc-1.1.0-r6.apk | 19651 | 2025-Mar-19 13:43 |
amule-2.3.3-r13.apk | 4141236 | 2024-Oct-25 20:45 |
amule-doc-2.3.3-r13.apk | 287933 | 2024-Oct-25 20:45 |
amule-lang-2.3.3-r13.apk | 1643778 | 2024-Oct-25 20:45 |
anarch-1.0-r1.apk | 108076 | 2024-Oct-25 20:45 |
anarch-doc-1.0-r1.apk | 18411 | 2024-Oct-25 20:45 |
anari-sdk-0.7.2-r0.apk | 304479 | 2024-Oct-25 20:45 |
anari-sdk-dev-0.7.2-r0.apk | 59736 | 2024-Oct-25 20:45 |
anari-sdk-static-0.7.2-r0.apk | 197724 | 2024-Oct-25 20:45 |
android-apkeep-0.17.0-r0.apk | 2026301 | 2024-Oct-25 20:45 |
android-apktool-2.12.0-r0.apk | 25653558 | 2025-Jul-06 14:14 |
android-file-transfer-4.5-r0.apk | 204346 | 2025-Jun-24 23:03 |
android-file-transfer-cli-4.5-r0.apk | 118329 | 2025-Jun-24 23:03 |
android-file-transfer-dev-4.5-r0.apk | 1340 | 2025-Jun-24 23:03 |
android-file-transfer-libs-4.5-r0.apk | 137020 | 2025-Jun-24 23:03 |
angband-4.2.5-r0.apk | 23691815 | 2024-Oct-25 20:45 |
ansible-bender-0.10.1-r2.apk | 36903 | 2024-Oct-25 20:45 |
ansible-bender-doc-0.10.1-r2.apk | 10069 | 2024-Oct-25 20:45 |
ansible-bender-pyc-0.10.1-r2.apk | 66303 | 2024-Oct-25 20:45 |
ansiweather-1.19.0-r1.apk | 4566 | 2024-Oct-25 20:45 |
ansiweather-doc-1.19.0-r1.apk | 2828 | 2024-Oct-25 20:45 |
antibody-6.1.1-r30.apk | 1824696 | 2025-Aug-08 16:53 |
antimicrox-3.5.1-r0.apk | 1691564 | 2025-Jun-13 13:54 |
antimicrox-doc-3.5.1-r0.apk | 24150 | 2025-Jun-13 13:54 |
anubis-1.21.3-r2.apk | 8847545 | 2025-Aug-09 12:15 |
anubis-doc-1.21.3-r2.apk | 2048 | 2025-Aug-09 12:15 |
anubis-openrc-1.21.3-r2.apk | 1629 | 2025-Aug-09 12:15 |
aoetools-37-r2.apk | 27311 | 2025-Jan-14 14:00 |
aoetools-doc-37-r2.apk | 13814 | 2025-Jan-14 14:00 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 62974 | 2024-Oct-25 20:45 |
apache-mod-auth-openidc-2.4.16.11-r1.apk | 224623 | 2025-May-22 06:59 |
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4290 | 2025-May-22 06:59 |
apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 326627 | 2025-May-22 06:59 |
apache2-mod-authnz-external-3.3.3-r0.apk | 8707 | 2024-Oct-25 20:45 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10226 | 2024-Oct-25 20:45 |
apache2-mod-maxminddb-1.2.0-r0.apk | 11923 | 2025-May-19 10:23 |
apache2-mod-perl-2.0.13-r2.apk | 803937 | 2025-Jun-30 09:24 |
apache2-mod-perl-dbg-2.0.13-r2.apk | 81914 | 2025-Jun-30 09:24 |
apache2-mod-perl-dev-2.0.13-r2.apk | 38637 | 2025-Jun-30 09:24 |
apache2-mod-perl-doc-2.0.13-r2.apk | 311037 | 2025-Jun-30 09:24 |
apache2-mod-realdoc-1-r1.apk | 5039 | 2024-Oct-25 20:45 |
apk-autoupdate-0_git20210421-r1.apk | 14395 | 2024-Nov-17 12:51 |
apk-autoupdate-doc-0_git20210421-r1.apk | 6857 | 2024-Nov-17 12:51 |
apk-snap-3.1.1-r0.apk | 6540 | 2024-Oct-25 20:45 |
apk-snap-doc-3.1.1-r0.apk | 20158 | 2024-Oct-25 20:45 |
apmpkg-1.5.1-r3.apk | 1800275 | 2024-Oct-25 20:45 |
apmpkg-bash-completion-1.5.1-r3.apk | 1974 | 2024-Oct-25 20:45 |
apmpkg-doc-1.5.1-r3.apk | 2856 | 2024-Oct-25 20:45 |
apmpkg-fish-completion-1.5.1-r3.apk | 1849 | 2024-Oct-25 20:45 |
apmpkg-zsh-completion-1.5.1-r3.apk | 2236 | 2024-Oct-25 20:45 |
aports-glmr-0.2-r30.apk | 2580278 | 2025-Aug-08 16:53 |
appcenter-8.0.0-r0.apk | 418916 | 2024-Nov-12 22:42 |
appcenter-lang-8.0.0-r0.apk | 264239 | 2024-Nov-12 22:42 |
aprilsh-0.7.12-r7.apk | 1415 | 2025-Aug-08 16:53 |
aprilsh-client-0.7.12-r7.apk | 3249049 | 2025-Aug-08 16:53 |
aprilsh-doc-0.7.12-r7.apk | 14481 | 2025-Aug-08 16:53 |
aprilsh-openrc-0.7.12-r7.apk | 1603 | 2025-Aug-08 16:53 |
aprilsh-server-0.7.12-r7.apk | 2496167 | 2025-Aug-08 16:53 |
apt-dater-1.0.4-r4.apk | 59727 | 2024-Oct-25 20:45 |
apt-dater-doc-1.0.4-r4.apk | 9845 | 2024-Oct-25 20:45 |
apt-dater-lang-1.0.4-r4.apk | 13183 | 2024-Oct-25 20:45 |
apt-mirror-0.5.4-r0.apk | 9404 | 2024-Oct-25 20:45 |
apt-mirror-doc-0.5.4-r0.apk | 4472 | 2024-Oct-25 20:45 |
apt-swarm-0.5.1-r0.apk | 3166593 | 2025-May-26 17:46 |
apt-swarm-bash-completion-0.5.1-r0.apk | 4027 | 2025-May-26 17:46 |
apt-swarm-fish-completion-0.5.1-r0.apk | 5621 | 2025-May-26 17:46 |
apt-swarm-openrc-0.5.1-r0.apk | 1706 | 2025-May-26 17:46 |
apt-swarm-zsh-completion-0.5.1-r0.apk | 5758 | 2025-May-26 17:46 |
aptdec-1.8.0-r1.apk | 88483 | 2025-Feb-08 23:41 |
aptdec-dev-1.8.0-r1.apk | 3244 | 2025-Feb-08 23:41 |
aptdec-libs-1.8.0-r1.apk | 17633 | 2025-Feb-08 23:41 |
apulse-0.1.13-r2.apk | 44934 | 2024-Oct-25 20:45 |
apulse-doc-0.1.13-r2.apk | 2559 | 2024-Oct-25 20:45 |
apx-2.4.5-r2.apk | 3526870 | 2025-Aug-08 16:53 |
apx-doc-2.4.5-r2.apk | 2196 | 2025-Aug-08 16:53 |
aqemu-0.9.4-r3.apk | 1714617 | 2024-Oct-25 20:45 |
aqemu-doc-0.9.4-r3.apk | 7432 | 2024-Oct-25 20:45 |
aravis-0.8.31-r0.apk | 50506 | 2024-Oct-25 20:45 |
aravis-dev-0.8.31-r0.apk | 34902 | 2024-Oct-25 20:45 |
aravis-libs-0.8.31-r0.apk | 191270 | 2024-Oct-25 20:45 |
aravis-viewer-0.8.31-r0.apk | 69847 | 2024-Oct-25 20:45 |
aravis-viewer-lang-0.8.31-r0.apk | 16110 | 2024-Oct-25 20:45 |
arc-20221218-r0.apk | 1522 | 2024-Oct-25 20:45 |
arc-cinnamon-20221218-r0.apk | 69401 | 2024-Oct-25 20:45 |
arc-dark-20221218-r0.apk | 1527 | 2024-Oct-25 20:45 |
arc-dark-cinnamon-20221218-r0.apk | 69804 | 2024-Oct-25 20:45 |
arc-dark-gnome-20221218-r0.apk | 27461 | 2024-Oct-25 20:45 |
arc-dark-gtk2-20221218-r0.apk | 39053 | 2024-Oct-25 20:45 |
arc-dark-gtk3-20221218-r0.apk | 95244 | 2024-Oct-25 20:45 |
arc-dark-gtk4-20221218-r0.apk | 88041 | 2024-Oct-25 20:45 |
arc-dark-metacity-20221218-r0.apk | 17624 | 2024-Oct-25 20:45 |
arc-dark-xfwm-20221218-r0.apk | 7797 | 2024-Oct-25 20:45 |
arc-darker-20221218-r0.apk | 1539 | 2024-Oct-25 20:45 |
arc-darker-gtk2-20221218-r0.apk | 39164 | 2024-Oct-25 20:45 |
arc-darker-gtk3-20221218-r0.apk | 126448 | 2024-Oct-25 20:45 |
arc-darker-gtk4-20221218-r0.apk | 112529 | 2024-Oct-25 20:45 |
arc-darker-metacity-20221218-r0.apk | 17636 | 2024-Oct-25 20:45 |
arc-darker-xfwm-20221218-r0.apk | 7790 | 2024-Oct-25 20:45 |
arc-gnome-20221218-r0.apk | 29059 | 2024-Oct-25 20:45 |
arc-gtk2-20221218-r0.apk | 38163 | 2024-Oct-25 20:45 |
arc-gtk3-20221218-r0.apk | 128628 | 2024-Oct-25 20:45 |
arc-gtk4-20221218-r0.apk | 115978 | 2024-Oct-25 20:45 |
arc-icon-theme-20161122-r0.apk | 4571311 | 2024-Oct-25 20:45 |
arc-lighter-20221218-r0.apk | 1538 | 2024-Oct-25 20:45 |
arc-lighter-gtk2-20221218-r0.apk | 38173 | 2024-Oct-25 20:45 |
arc-lighter-gtk3-20221218-r0.apk | 127301 | 2024-Oct-25 20:45 |
arc-lighter-gtk4-20221218-r0.apk | 115258 | 2024-Oct-25 20:45 |
arc-lighter-metacity-20221218-r0.apk | 17434 | 2024-Oct-25 20:45 |
arc-lighter-xfwm-20221218-r0.apk | 7636 | 2024-Oct-25 20:45 |
arc-metacity-20221218-r0.apk | 17391 | 2024-Oct-25 20:45 |
arc-theme-20221218-r0.apk | 1213 | 2024-Oct-25 20:45 |
arc-xfwm-20221218-r0.apk | 7611 | 2024-Oct-25 20:45 |
argocd-3.1.0-r0.apk | 41730848 | 2025-Aug-14 11:56 |
argocd-bash-completion-3.1.0-r0.apk | 21736 | 2025-Aug-14 11:56 |
argocd-doc-3.1.0-r0.apk | 5369 | 2025-Aug-14 11:56 |
argocd-zsh-completion-3.1.0-r0.apk | 3875 | 2025-Aug-14 11:56 |
argtable2-2.13-r0.apk | 13215 | 2025-Sep-01 18:38 |
argtable2-dev-2.13-r0.apk | 18092 | 2025-Sep-01 18:38 |
argtable2-doc-2.13-r0.apk | 24819 | 2025-Sep-01 18:38 |
arj-0_git20220125-r1.apk | 135297 | 2024-Oct-25 20:45 |
arj-doc-0_git20220125-r1.apk | 10711 | 2024-Oct-25 20:45 |
armagetronad-0.2.9.1.1-r0.apk | 1677439 | 2024-Oct-25 20:45 |
armagetronad-doc-0.2.9.1.1-r0.apk | 93902 | 2024-Oct-25 20:45 |
arturo-0.9.84_alpha3386-r0.apk | 2091487 | 2025-Aug-28 06:08 |
arturo-doc-0.9.84_alpha3386-r0.apk | 1561635 | 2025-Aug-28 06:08 |
arturo-full-0.9.84_alpha3386-r0.apk | 2567368 | 2025-Aug-28 06:08 |
aspell-es-1.11-r0.apk | 545808 | 2024-Oct-25 20:45 |
astroterm-1.0.9-r0.apk | 324044 | 2025-Sep-01 18:38 |
astroterm-doc-1.0.9-r0.apk | 7564 | 2025-Sep-01 18:38 |
atac-0.18.1-r0.apk | 5142734 | 2024-Nov-25 22:19 |
atlantik-3.5.10_git20240323-r0.apk | 404665 | 2024-Oct-25 20:45 |
atlantik-doc-3.5.10_git20240323-r0.apk | 80947 | 2024-Oct-25 20:45 |
atlantik-lang-3.5.10_git20240323-r0.apk | 70015 | 2024-Oct-25 20:45 |
atomicparsley-20240608-r0.apk | 111222 | 2024-Oct-25 20:45 |
atool-0.39.0-r4.apk | 17884 | 2024-Oct-25 20:45 |
atool-bash-completion-0.39.0-r4.apk | 1826 | 2024-Oct-25 20:45 |
atool-doc-0.39.0-r4.apk | 9565 | 2024-Oct-25 20:45 |
aufs-util-20161219-r3.apk | 210584 | 2024-Oct-25 20:45 |
aufs-util-dev-20161219-r3.apk | 1229 | 2024-Oct-25 20:45 |
aufs-util-doc-20161219-r3.apk | 34445 | 2024-Oct-25 20:45 |
authenticator-rs-0.8.6-r0.apk | 2401329 | 2025-Sep-01 18:31 |
authenticator-rs-lang-0.8.6-r0.apk | 3895 | 2025-Sep-01 18:31 |
autoconf-policy-0.1-r0.apk | 5624 | 2024-Oct-25 20:45 |
autoremove-torrents-1.5.5-r0.apk | 36023 | 2024-Oct-25 20:45 |
autoremove-torrents-doc-1.5.5-r0.apk | 11590 | 2024-Oct-25 20:45 |
autoremove-torrents-pyc-1.5.5-r0.apk | 54816 | 2024-Oct-25 20:45 |
autorestic-1.8.3-r7.apk | 3775548 | 2025-Aug-08 16:53 |
autotrash-0.4.7-r0.apk | 23098 | 2024-Oct-25 20:45 |
autotrash-pyc-0.4.7-r0.apk | 14126 | 2024-Oct-25 20:45 |
avahi2dns-0.1.0-r3.apk | 2442983 | 2025-Aug-08 16:53 |
avahi2dns-openrc-0.1.0-r3.apk | 1579 | 2025-Aug-08 16:53 |
avara-0.7.1-r1.apk | 22458225 | 2024-Nov-04 08:53 |
avarice-2.14-r4.apk | 67985 | 2024-Oct-25 20:45 |
avarice-doc-2.14-r4.apk | 9403 | 2024-Oct-25 20:45 |
avra-1.4.2-r0.apk | 41434 | 2024-Oct-25 20:45 |
avra-dev-1.4.2-r0.apk | 260586 | 2024-Oct-25 20:45 |
azote-1.14.0-r0.apk | 7978907 | 2024-Dec-14 21:36 |
azote-pyc-1.14.0-r0.apk | 100093 | 2024-Dec-14 21:36 |
azpainter-3.0.11-r0.apk | 957507 | 2025-Feb-22 11:10 |
azpainter-doc-3.0.11-r0.apk | 42640 | 2025-Feb-22 11:10 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 854154 | 2024-Oct-25 20:45 |
b2-tools-4.3.2-r0.apk | 73957 | 2025-May-04 02:50 |
b2-tools-pyc-4.3.2-r0.apk | 138879 | 2025-May-04 02:50 |
b2sum-20190729-r2.apk | 14967 | 2024-Oct-25 20:45 |
b2sum-doc-20190729-r2.apk | 2532 | 2024-Oct-25 20:45 |
backup-manager-0.7.15-r1.apk | 56373 | 2024-Oct-25 20:45 |
bacon-3.16.0-r0.apk | 1990724 | 2025-Jun-23 13:37 |
baikal-0.10.1-r1.apk | 1310881 | 2025-May-27 23:25 |
baikal-mysql-0.10.1-r1.apk | 1055 | 2025-May-27 23:25 |
baikal-pgsql-0.10.1-r1.apk | 1055 | 2025-May-27 23:25 |
baikal-sqlite-0.10.1-r1.apk | 1204 | 2025-May-27 23:25 |
bakelite-0.4.2-r0.apk | 41220 | 2024-Oct-25 20:45 |
bananui-2.0.0-r0.apk | 58572 | 2024-Oct-25 20:45 |
bananui-clock-0.1.0-r0.apk | 7573 | 2024-Oct-25 20:45 |
bananui-daemons-0.1.0-r0.apk | 48586 | 2024-Oct-25 20:45 |
bananui-dbg-2.0.0-r0.apk | 173067 | 2024-Oct-25 20:45 |
bananui-demos-2.0.0-r0.apk | 10905 | 2024-Oct-25 20:45 |
bananui-dev-2.0.0-r0.apk | 89279 | 2024-Oct-25 20:45 |
bananui-shell-0.2.0-r0.apk | 111913 | 2024-Oct-25 20:45 |
baresip-3.20.0-r1.apk | 1231106 | 2025-Jun-01 15:45 |
baresip-dev-3.20.0-r1.apk | 15997 | 2025-Jun-01 15:45 |
barman-3.15.0-r0.apk | 382900 | 2025-Aug-12 08:37 |
barman-bash-completion-3.15.0-r0.apk | 1412 | 2025-Aug-12 08:37 |
barman-doc-3.15.0-r0.apk | 87856 | 2025-Aug-12 08:37 |
barman-pyc-3.15.0-r0.apk | 603158 | 2025-Aug-12 08:37 |
barnyard2-2.1.14_git20160413-r1.apk | 132210 | 2024-Oct-25 20:45 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2560 | 2024-Oct-25 20:45 |
barrier-2.4.0-r2.apk | 1008380 | 2025-Feb-18 22:21 |
barrier-doc-2.4.0-r2.apk | 13037 | 2025-Feb-18 22:21 |
bartib-1.0.1-r1.apk | 386025 | 2024-Oct-25 20:45 |
base64c-0.2.1-r0.apk | 4689 | 2024-Oct-25 20:45 |
base64c-dev-0.2.1-r0.apk | 5536 | 2024-Oct-25 20:45 |
bash-pinyin-completion-rs-0.3.0-r0.apk | 657093 | 2025-Aug-30 09:03 |
bash-pinyin-completion-rs-doc-0.3.0-r0.apk | 13636 | 2025-Aug-30 09:03 |
batmon-0.0.1-r0.apk | 495064 | 2024-Oct-25 20:45 |
battery-limit-openrc-1-r0.apk | 1618 | 2025-Jun-17 10:19 |
bcg729-1.1.1-r0.apk | 35697 | 2024-Oct-25 20:45 |
bcg729-dev-1.1.1-r0.apk | 3548 | 2024-Oct-25 20:45 |
bchunk-1.2.2-r3.apk | 7750 | 2024-Oct-25 20:45 |
bchunk-doc-1.2.2-r3.apk | 2801 | 2024-Oct-25 20:45 |
bdfr-2.6.2-r1.apk | 133811 | 2024-Oct-25 20:45 |
beancount-language-server-1.4.1-r0.apk | 1544640 | 2025-Aug-03 10:42 |
beard-0.4-r0.apk | 3218 | 2024-Oct-25 20:45 |
beard-doc-0.4-r0.apk | 2535 | 2024-Oct-25 20:45 |
bees-0.10-r2.apk | 301634 | 2024-Oct-25 20:45 |
bees-openrc-0.10-r2.apk | 1727 | 2024-Oct-25 20:45 |
belcard-5.3.105-r0.apk | 16410 | 2025-Feb-25 12:54 |
belcard-dev-5.3.105-r0.apk | 11465 | 2025-Feb-25 12:54 |
belcard-libs-5.3.105-r0.apk | 226258 | 2025-Feb-25 12:54 |
belle-sip-5.3.105-r0.apk | 639048 | 2025-Feb-25 12:54 |
belle-sip-dev-5.3.105-r0.apk | 54904 | 2025-Feb-25 12:54 |
belr-5.3.105-r0.apk | 119004 | 2025-Feb-25 12:54 |
belr-dev-5.3.105-r0.apk | 14616 | 2025-Feb-25 12:54 |
berry-lang-1.1.0-r0.apk | 135091 | 2024-Oct-25 20:45 |
bestline-0.0_git20211108-r0.apk | 22944 | 2024-Oct-25 20:45 |
bestline-dev-0.0_git20211108-r0.apk | 1722 | 2024-Oct-25 20:45 |
bestline-doc-0.0_git20211108-r0.apk | 18463006 | 2024-Oct-25 20:45 |
bettercap-2.41.4-r0.apk | 19859781 | 2025-Aug-19 07:25 |
bettercap-doc-2.41.4-r0.apk | 13650 | 2025-Aug-19 07:25 |
bgpq4-1.15-r0.apk | 34555 | 2024-Oct-25 20:45 |
bgpq4-doc-1.15-r0.apk | 6228 | 2024-Oct-25 20:45 |
bgs-0.8-r1.apk | 5724 | 2024-Oct-25 20:45 |
bgs-doc-0.8-r1.apk | 2089 | 2024-Oct-25 20:45 |
biboumi-9.0-r8.apk | 282012 | 2025-May-28 21:38 |
biboumi-doc-9.0-r8.apk | 1248 | 2025-May-28 21:38 |
biboumi-openrc-9.0-r8.apk | 1675 | 2025-May-28 21:38 |
bindfs-1.17.7-r1.apk | 22412 | 2025-Jun-19 08:53 |
bindfs-doc-1.17.7-r1.apk | 9007 | 2025-Jun-19 08:53 |
binwalk-3.1.0-r0.apk | 1112813 | 2025-Feb-07 15:51 |
biome-2.1.4-r0.apk | 7764945 | 2025-Aug-08 16:53 |
biometryd-0.3.1-r7.apk | 330399 | 2025-Feb-18 22:21 |
biometryd-dev-0.3.1-r7.apk | 13342 | 2025-Feb-18 22:21 |
birdtray-1.9.0-r1.apk | 419712 | 2024-Oct-25 20:45 |
bitlbee-facebook-1.2.2-r0.apk | 62126 | 2024-Oct-25 20:45 |
bitlbee-mastodon-1.4.5-r0.apk | 49446 | 2024-Oct-25 20:45 |
bitritter-0.1.1-r0.apk | 2310992 | 2024-Oct-25 20:45 |
bkt-0.8.0-r0.apk | 405632 | 2024-Oct-25 20:45 |
bkt-doc-0.8.0-r0.apk | 7164 | 2024-Oct-25 20:45 |
blackbox-1.20220610-r1.apk | 15818 | 2024-Oct-25 20:45 |
blip-0.10-r0.apk | 15664 | 2024-Oct-25 20:45 |
blip-doc-0.10-r0.apk | 31182 | 2024-Oct-25 20:45 |
bliss-0.77-r1.apk | 82671 | 2024-Oct-25 20:45 |
bliss-dev-0.77-r1.apk | 121575 | 2024-Oct-25 20:45 |
bochs-2.8-r1.apk | 949493 | 2025-Feb-20 18:30 |
bochs-doc-2.8-r1.apk | 142066 | 2025-Feb-20 18:30 |
boinc-7.24.3-r0.apk | 1705334 | 2024-Oct-25 20:45 |
boinc-dev-7.24.3-r0.apk | 661018 | 2024-Oct-25 20:45 |
boinc-doc-7.24.3-r0.apk | 7921 | 2024-Oct-25 20:45 |
boinc-gui-7.24.3-r0.apk | 1089909 | 2024-Oct-25 20:45 |
boinc-lang-7.24.3-r0.apk | 897733 | 2024-Oct-25 20:45 |
boinc-libs-7.24.3-r0.apk | 235015 | 2024-Oct-25 20:45 |
boinc-screensaver-7.24.3-r0.apk | 148963 | 2024-Oct-25 20:45 |
bomctl-0.1.9-r8.apk | 9310505 | 2025-Aug-08 16:53 |
bomctl-bash-completion-0.1.9-r8.apk | 4995 | 2025-Aug-08 16:53 |
bomctl-fish-completion-0.1.9-r8.apk | 4179 | 2025-Aug-08 16:53 |
bomctl-zsh-completion-0.1.9-r8.apk | 3889 | 2025-Aug-08 16:53 |
bonzomatic-20230615-r0.apk | 682959 | 2024-Oct-25 20:45 |
bootchart2-0.14.9-r0.apk | 140869 | 2025-Jan-03 10:13 |
bootinfo-0.1.0-r4.apk | 19634 | 2024-Oct-25 20:45 |
bootinfo-pyc-0.1.0-r4.apk | 8177 | 2024-Oct-25 20:45 |
bootloose-0.7.1-r13.apk | 2216573 | 2025-Aug-08 16:53 |
bootterm-0.5-r0.apk | 20578 | 2024-Oct-25 20:45 |
bootterm-dbg-0.5-r0.apk | 2085 | 2024-Oct-25 20:45 |
bore-0.5.2-r0.apk | 610222 | 2024-Dec-15 19:50 |
boson-0_git20211219-r0.apk | 18957 | 2024-Oct-25 20:45 |
botan2-2.19.5-r0.apk | 461218 | 2025-May-28 21:38 |
botan2-dev-2.19.5-r0.apk | 318568 | 2025-May-28 21:38 |
botan2-doc-2.19.5-r0.apk | 313309 | 2025-May-28 21:38 |
botan2-libs-2.19.5-r0.apk | 3071846 | 2025-May-28 21:38 |
boxes-2.3.1-r0.apk | 87405 | 2024-Oct-25 20:45 |
boxes-doc-2.3.1-r0.apk | 7020 | 2024-Oct-25 20:45 |
brial-1.2.11-r4.apk | 1093789 | 2024-Oct-25 20:45 |
brial-dev-1.2.11-r4.apk | 1734297 | 2024-Oct-25 20:45 |
btcd-0.24.0-r10.apk | 15494334 | 2025-Aug-08 16:53 |
btfs-2.24-r12.apk | 32908 | 2024-Oct-25 20:45 |
btfs-doc-2.24-r12.apk | 2180 | 2024-Oct-25 20:45 |
btpd-0.16-r2.apk | 90045 | 2024-Oct-25 20:45 |
btpd-doc-0.16-r2.apk | 8597 | 2024-Oct-25 20:45 |
buf-1.56.0-r1.apk | 13626725 | 2025-Aug-08 16:53 |
buf-bash-completion-1.56.0-r1.apk | 8562 | 2025-Aug-08 16:53 |
buf-fish-completion-1.56.0-r1.apk | 4141 | 2025-Aug-08 16:53 |
buf-protoc-plugins-1.56.0-r1.apk | 13799248 | 2025-Aug-08 16:53 |
buf-zsh-completion-1.56.0-r1.apk | 3855 | 2025-Aug-08 16:53 |
build-next-22.0.0_pre20250830-r0.apk | 1363 | 2025-Aug-31 17:48 |
build-next-bfd-22.0.0_pre20250830-r0.apk | 910155 | 2025-Aug-31 17:48 |
build-next-gas-22.0.0_pre20250830-r0.apk | 851355 | 2025-Aug-31 17:48 |
build-next-overlay-22.0.0_pre20250830-r0.apk | 2740 | 2025-Aug-31 17:48 |
buildcache-0.28.9-r0.apk | 919824 | 2024-Oct-25 20:45 |
bump2version-1.0.1-r6.apk | 21083 | 2024-Oct-25 20:45 |
bump2version-pyc-1.0.1-r6.apk | 29543 | 2024-Oct-25 20:45 |
burp-3.1.4-r0.apk | 183082 | 2024-Oct-25 20:45 |
burp-doc-3.1.4-r0.apk | 101529 | 2024-Oct-25 20:45 |
burp-server-3.1.4-r0.apk | 37238 | 2024-Oct-25 20:45 |
butane-0.24.0-r3.apk | 3120766 | 2025-Aug-09 12:15 |
bwrap-oci-0.2-r1.apk | 16322 | 2024-Oct-25 20:45 |
bwrap-oci-doc-0.2-r1.apk | 2281 | 2024-Oct-25 20:45 |
bzmenu-0.2.1-r3.apk | 1241155 | 2025-Aug-09 12:15 |
cadence-0.9.2-r0.apk | 1978941 | 2024-Oct-25 20:45 |
caffeine-ng-4.2.0-r1.apk | 102546 | 2024-Oct-25 20:45 |
caffeine-ng-doc-4.2.0-r1.apk | 2971 | 2024-Oct-25 20:45 |
caffeine-ng-lang-4.2.0-r1.apk | 34919 | 2024-Oct-25 20:45 |
caja-gtkhash-plugin-1.5-r0.apk | 25698 | 2025-Mar-10 19:32 |
capnet-assist-8.0.0-r0.apk | 45236 | 2025-Apr-14 10:08 |
capnet-assist-lang-8.0.0-r0.apk | 37876 | 2025-Apr-14 10:08 |
caps2esc-0.3.2-r0.apk | 4873 | 2024-Oct-25 20:45 |
cargo-crev-0.26.3-r0.apk | 6770099 | 2025-Mar-02 16:49 |
cargo-geiger-0.12.0-r0.apk | 5868924 | 2025-May-26 17:46 |
cargo-geiger-doc-0.12.0-r0.apk | 7595 | 2025-May-26 17:46 |
cargo-generate-0.23.4-r0.apk | 2586668 | 2025-Aug-03 10:47 |
cargo-machete-0.9.1-r0.apk | 1399544 | 2025-Aug-17 18:49 |
cargo-machete-doc-0.9.1-r0.apk | 4199 | 2025-Aug-17 18:49 |
cargo-run-bin-1.7.2-r0.apk | 493730 | 2024-Oct-25 20:45 |
cargo-run-bin-doc-1.7.2-r0.apk | 4922 | 2024-Oct-25 20:45 |
cargo-show-asm-0.2.51-r0.apk | 907919 | 2025-Jul-14 12:51 |
cargo-show-asm-doc-0.2.51-r0.apk | 9976 | 2025-Jul-14 12:51 |
cargo-shuttle-0.56.6-r0.apk | 5358878 | 2025-Jul-29 07:57 |
cargo-shuttle-bash-completion-0.56.6-r0.apk | 5031 | 2025-Jul-29 07:57 |
cargo-shuttle-doc-0.56.6-r0.apk | 8945 | 2025-Jul-29 07:57 |
cargo-shuttle-fish-completion-0.56.6-r0.apk | 9002 | 2025-Jul-29 07:57 |
cargo-shuttle-zsh-completion-0.56.6-r0.apk | 7865 | 2025-Jul-29 07:57 |
cargo-udeps-0.1.57-r0.apk | 5206664 | 2025-Jul-14 12:51 |
cargo-udeps-doc-0.1.57-r0.apk | 7421 | 2025-Jul-14 12:51 |
cargo-update-16.2.1-r0.apk | 1257743 | 2025-Mar-23 13:27 |
cargo-update-doc-16.2.1-r0.apk | 8214 | 2025-Mar-23 13:27 |
cargo-vendor-filterer-0.5.18-r0.apk | 699226 | 2025-Jul-24 17:31 |
castero-0.9.5-r4.apk | 51420 | 2025-May-14 18:20 |
castero-pyc-0.9.5-r4.apk | 95964 | 2025-May-14 18:20 |
castor-0.9.0-r2.apk | 762797 | 2024-Oct-25 20:45 |
cataclysm-dda-0h-r0.apk | 20347395 | 2025-Mar-20 03:10 |
cataclysm-dda-curses-0h-r0.apk | 12245433 | 2025-Mar-20 03:10 |
cataclysm-dda-doc-0h-r0.apk | 4489 | 2025-Mar-20 03:10 |
cataclysm-dda-lang-0h-r0.apk | 39385700 | 2025-Mar-20 03:10 |
cataclysm-dda-tiles-0h-r0.apk | 51209896 | 2025-Mar-20 03:10 |
catcodec-1.0.5-r2.apk | 13353 | 2024-Oct-25 20:45 |
catcodec-doc-1.0.5-r2.apk | 5028 | 2024-Oct-25 20:45 |
catdoc-0.95-r1.apk | 114704 | 2024-Oct-25 20:45 |
catdoc-doc-0.95-r1.apk | 9204 | 2024-Oct-25 20:45 |
catfish-4.20.1-r0.apk | 130591 | 2025-Jul-07 19:29 |
catfish-doc-4.20.1-r0.apk | 13333 | 2025-Jul-07 19:29 |
catfish-lang-4.20.1-r0.apk | 170401 | 2025-Jul-07 19:29 |
catfish-pyc-4.20.1-r0.apk | 106338 | 2025-Jul-07 19:29 |
cava-0.10.4-r1.apk | 45580 | 2025-Feb-18 22:21 |
cbqn-0.9.0-r0.apk | 779021 | 2025-Mar-25 15:56 |
cc65-2.19-r0.apk | 9353809 | 2024-Oct-25 20:45 |
ccrtp-2.1.2-r0.apk | 98734 | 2024-Oct-25 20:45 |
ccrtp-dev-2.1.2-r0.apk | 53978 | 2024-Oct-25 20:45 |
ccrtp-doc-2.1.2-r0.apk | 32015 | 2024-Oct-25 20:45 |
ccze-0.2.1-r1.apk | 83305 | 2024-Oct-25 20:45 |
ccze-dev-0.2.1-r1.apk | 3404 | 2024-Oct-25 20:45 |
ccze-doc-0.2.1-r1.apk | 9052 | 2024-Oct-25 20:45 |
cdba-1.0-r2.apk | 8309 | 2024-Oct-25 20:45 |
cdba-server-1.0-r2.apk | 23028 | 2024-Oct-25 20:45 |
cddlib-0.94m-r2.apk | 221601 | 2024-Oct-25 20:45 |
cddlib-dev-0.94m-r2.apk | 14164 | 2024-Oct-25 20:45 |
cddlib-doc-0.94m-r2.apk | 884196 | 2024-Oct-25 20:45 |
cddlib-static-0.94m-r2.apk | 313887 | 2024-Oct-25 20:45 |
cddlib-tools-0.94m-r2.apk | 101534 | 2024-Oct-25 20:45 |
cdist-7.0.0-r6.apk | 522989 | 2024-Oct-25 20:45 |
cdist-pyc-7.0.0-r6.apk | 130376 | 2024-Oct-25 20:45 |
cdogs-sdl-2.1.0-r0.apk | 35254135 | 2024-Oct-25 20:45 |
certbot-dns-njalla-2.0.0-r0.apk | 9254 | 2024-Nov-27 23:08 |
certbot-dns-njalla-pyc-2.0.0-r0.apk | 4047 | 2024-Nov-27 23:08 |
certbot-dns-pdns-0.1.1-r1.apk | 8550 | 2025-Aug-28 01:20 |
certbot-dns-pdns-pyc-0.1.1-r1.apk | 3701 | 2025-Aug-28 01:20 |
certigo-1.16.0-r25.apk | 3726452 | 2025-Aug-08 16:53 |
certstrap-1.3.0-r26.apk | 2277330 | 2025-Aug-08 16:53 |
cfssl-1.6.5-r7.apk | 29254712 | 2025-Aug-08 16:54 |
cgiirc-0.5.12-r1.apk | 136912 | 2024-Oct-25 20:46 |
cgo-0.6.1-r1.apk | 10641 | 2024-Oct-25 20:46 |
cgo-doc-0.6.1-r1.apk | 3945 | 2024-Oct-25 20:46 |
chamo-4.0-r0.apk | 7070829 | 2024-Oct-25 20:46 |
chamo-byte-4.0-r0.apk | 1529602 | 2024-Oct-25 20:46 |
chamo-dev-4.0-r0.apk | 4421054 | 2024-Oct-25 20:46 |
charls-2.4.2-r0.apk | 70814 | 2024-Oct-25 20:46 |
charls-dev-2.4.2-r0.apk | 26980 | 2024-Oct-25 20:46 |
chasquid-1.15.0-r6.apk | 11084700 | 2025-Aug-08 16:54 |
chasquid-doc-1.15.0-r6.apk | 15395 | 2025-Aug-08 16:54 |
chasquid-openrc-1.15.0-r6.apk | 1720 | 2025-Aug-08 16:54 |
chawan-0.2.2-r0.apk | 4312079 | 2025-Jul-23 00:56 |
chawan-doc-0.2.2-r0.apk | 51780 | 2025-Jul-23 00:56 |
checkpolicy-3.6-r0.apk | 378243 | 2024-Oct-25 20:46 |
checkpolicy-doc-3.6-r0.apk | 4002 | 2024-Oct-25 20:46 |
cherrytree-1.4.0-r0.apk | 2647814 | 2025-Mar-26 17:41 |
cherrytree-doc-1.4.0-r0.apk | 1887 | 2025-Mar-26 17:41 |
cherrytree-lang-1.4.0-r0.apk | 878896 | 2025-Mar-26 17:41 |
chimerautils-14.2.1-r0.apk | 1441640 | 2025-May-25 23:21 |
chimerautils-dbg-14.2.1-r0.apk | 2974414 | 2025-May-25 23:21 |
chocolate-doom-3.1.1-r0.apk | 1795334 | 2025-Aug-19 01:31 |
chocolate-doom-doc-3.1.1-r0.apk | 237925 | 2025-Aug-19 01:31 |
cilium-cli-0.16.13-r7.apk | 55589885 | 2025-Aug-08 16:54 |
cilium-cli-bash-completion-0.16.13-r7.apk | 4921 | 2025-Aug-08 16:54 |
cilium-cli-fish-completion-0.16.13-r7.apk | 4162 | 2025-Aug-08 16:54 |
cilium-cli-zsh-completion-0.16.13-r7.apk | 3878 | 2025-Aug-08 16:54 |
cimg-3.4.1-r0.apk | 845535 | 2024-Oct-25 20:46 |
circuslinux-1.0.3-r1.apk | 20843 | 2024-Oct-25 20:46 |
circuslinux-data-1.0.3-r1.apk | 1181488 | 2024-Oct-25 20:46 |
circuslinux-doc-1.0.3-r1.apk | 18402 | 2024-Oct-25 20:46 |
ckb-next-0.6.2-r0.apk | 1462466 | 2025-Mar-19 13:43 |
ckb-next-daemon-0.6.2-r0.apk | 73339 | 2025-Mar-19 13:43 |
ckb-next-daemon-openrc-0.6.2-r0.apk | 1565 | 2025-Mar-19 13:43 |
ckb-next-dev-0.6.2-r0.apk | 4730 | 2025-Mar-19 13:43 |
clang-next-22.0.0_pre20250830-r0.apk | 10299837 | 2025-Aug-31 17:48 |
clang-next-ccache-22.0.0_pre20250830-r0.apk | 1434 | 2025-Aug-31 17:48 |
clang-next-dev-22.0.0_pre20250830-r0.apk | 4014083 | 2025-Aug-31 17:48 |
clang-next-headers-22.0.0_pre20250830-r0.apk | 1037191 | 2025-Aug-31 17:48 |
clang-next-libclang-22.0.0_pre20250830-r0.apk | 10230965 | 2025-Aug-31 17:48 |
clang-next-libs-22.0.0_pre20250830-r0.apk | 17508701 | 2025-Aug-31 17:48 |
clang-next-rtlib-22.0.0_pre20250830-r0.apk | 7287125 | 2025-Aug-31 17:48 |
clang-next-rtlib-atomic-22.0.0_pre20250830-r0.apk | 10534 | 2025-Aug-31 17:48 |
clang-next-rtlib-scudo-22.0.0_pre20250830-r0.apk | 45423 | 2025-Aug-31 17:48 |
clang-next-static-22.0.0_pre20250830-r0.apk | 34721447 | 2025-Aug-31 17:48 |
clang21-21.1.0-r0.apk | 681427 | 2025-Aug-27 09:25 |
clang21-ccache-21.1.0-r0.apk | 1420 | 2025-Aug-27 09:25 |
clang21-dev-21.1.0-r0.apk | 4169174 | 2025-Aug-27 09:25 |
clang21-extra-tools-21.1.0-r0.apk | 33626659 | 2025-Aug-27 09:25 |
clang21-headers-21.1.0-r0.apk | 643482 | 2025-Aug-27 09:25 |
clang21-libclang-21.1.0-r0.apk | 18773193 | 2025-Aug-27 09:25 |
clang21-libs-21.1.0-r0.apk | 31653186 | 2025-Aug-27 09:25 |
clang21-static-21.1.0-r0.apk | 127047987 | 2025-Aug-27 09:26 |
clatd-1.6-r0.apk | 12646 | 2024-Oct-25 20:46 |
clementine-1.4.1_git20250503-r0.apk | 6713532 | 2025-Jun-12 14:19 |
clevis-21-r0.apk | 59227 | 2025-Jan-20 04:17 |
clevis-bash-completion-21-r0.apk | 1821 | 2025-Jan-20 04:17 |
clevis-dbg-21-r0.apk | 63130 | 2025-Jan-20 04:17 |
clevis-doc-21-r0.apk | 23460 | 2025-Jan-20 04:17 |
clevis-extra-pins-0_git20230629-r0.apk | 4499 | 2024-Oct-25 20:46 |
click-0.5.2-r4.apk | 165812 | 2025-Feb-18 22:21 |
click-dev-0.5.2-r4.apk | 9081 | 2025-Feb-18 22:21 |
click-doc-0.5.2-r4.apk | 3123 | 2025-Feb-18 22:21 |
click-pyc-0.5.2-r4.apk | 178706 | 2025-Feb-18 22:21 |
clinfo-3.0.23.01.25-r0.apk | 48702 | 2024-Oct-25 20:46 |
clinfo-doc-3.0.23.01.25-r0.apk | 6361 | 2024-Oct-25 20:46 |
cliphist-0.6.1-r7.apk | 944287 | 2025-Aug-08 16:54 |
cliphist-fzf-0.6.1-r7.apk | 1571 | 2025-Aug-08 16:54 |
clipit-1.4.5-r3.apk | 68628 | 2024-Oct-25 20:46 |
clipit-doc-1.4.5-r3.apk | 2179 | 2024-Oct-25 20:46 |
cliquer-1.23-r0.apk | 7727 | 2025-Aug-12 04:15 |
cliquer-dev-1.23-r0.apk | 7416 | 2025-Aug-12 04:15 |
cliquer-libs-1.23-r0.apk | 28368 | 2025-Aug-12 04:15 |
cliquer-static-1.23-r0.apk | 35712 | 2025-Aug-12 04:15 |
cliquer-tests-1.23-r0.apk | 24311 | 2025-Aug-12 04:15 |
cln-1.3.7-r1.apk | 484362 | 2025-May-25 07:56 |
cln-dev-1.3.7-r1.apk | 1250409 | 2025-May-25 07:56 |
cln-doc-1.3.7-r1.apk | 78935 | 2025-May-25 07:56 |
cloudfoundry-cli-8.7.9-r11.apk | 8985105 | 2025-Aug-08 16:54 |
cluster-glue-1.0.12-r5.apk | 315410 | 2024-Oct-25 20:46 |
cluster-glue-dev-1.0.12-r5.apk | 1021269 | 2024-Oct-25 20:46 |
cluster-glue-doc-1.0.12-r5.apk | 33450 | 2024-Oct-25 20:46 |
cluster-glue-libs-1.0.12-r5.apk | 118971 | 2024-Oct-25 20:46 |
cmusfm-0.5.0-r1.apk | 16332 | 2025-Aug-27 04:14 |
coccinelle-1.1.1-r2.apk | 7482833 | 2024-Oct-25 20:46 |
coccinelle-bash-completion-1.1.1-r2.apk | 2657 | 2024-Oct-25 20:46 |
coccinelle-doc-1.1.1-r2.apk | 16092 | 2024-Oct-25 20:46 |
cocogitto-6.3.0-r0.apk | 1952693 | 2025-Mar-21 19:29 |
cocogitto-bash-completion-6.3.0-r0.apk | 2848 | 2025-Mar-21 19:29 |
cocogitto-doc-6.3.0-r0.apk | 38439 | 2025-Mar-21 19:29 |
cocogitto-fish-completion-6.3.0-r0.apk | 3137 | 2025-Mar-21 19:29 |
cocogitto-zsh-completion-6.3.0-r0.apk | 2852 | 2025-Mar-21 19:29 |
code-minimap-0.6.7-r0.apk | 401488 | 2024-Dec-12 19:40 |
code-minimap-doc-0.6.7-r0.apk | 7917 | 2024-Dec-12 19:40 |
codec2-1.2.0-r0.apk | 692383 | 2025-May-25 22:28 |
codec2-dev-1.2.0-r0.apk | 15523 | 2025-May-25 22:28 |
cogapp-3.5.1-r0.apk | 30135 | 2025-Aug-12 18:39 |
cogapp-pyc-3.5.1-r0.apk | 54183 | 2025-Aug-12 18:39 |
colormake-0.9.20170221-r0.apk | 4146 | 2024-Oct-25 20:46 |
colormake-doc-0.9.20170221-r0.apk | 2730 | 2024-Oct-25 20:46 |
colorpicker-0_git20201128-r1.apk | 4204 | 2024-Oct-25 20:46 |
comics-downloader-0.33.8-r12.apk | 3810811 | 2025-Aug-08 16:54 |
comics-downloader-gui-0.33.8-r12.apk | 5652108 | 2025-Aug-08 16:54 |
commit-lsp-0.1.0-r0.apk | 2285361 | 2025-May-08 12:40 |
commoncpp-7.0.1-r1.apk | 313323 | 2024-Oct-25 20:46 |
commoncpp-dev-7.0.1-r1.apk | 177180 | 2024-Oct-25 20:46 |
commoncpp-doc-7.0.1-r1.apk | 15185 | 2024-Oct-25 20:46 |
commoncpp-tools-7.0.1-r1.apk | 63779 | 2024-Oct-25 20:46 |
compiz-0.9.14.2-r11.apk | 6669052 | 2025-Jun-12 14:19 |
compiz-dev-0.9.14.2-r11.apk | 119742 | 2025-Jun-12 14:19 |
compiz-lang-0.9.14.2-r11.apk | 1265296 | 2025-Jun-12 14:19 |
compiz-pyc-0.9.14.2-r11.apk | 113929 | 2025-Jun-12 14:19 |
compiz-utils-0.9.14.2-r11.apk | 3152 | 2025-Jun-12 14:19 |
conntracct-0.2.7-r33.apk | 5043498 | 2025-Aug-08 16:54 |
conntracct-openrc-0.2.7-r33.apk | 1701 | 2025-Aug-08 16:54 |
console_bridge-1.0.2-r0.apk | 9930 | 2024-Oct-25 20:46 |
console_bridge-dev-1.0.2-r0.apk | 4573 | 2024-Oct-25 20:46 |
consul-replicate-0.4.0-r33.apk | 2888747 | 2025-Aug-08 16:54 |
contractor-0.3.5-r0.apk | 28331 | 2024-Nov-12 22:42 |
convert2json-2.3.2-r0.apk | 1091 | 2025-Aug-10 09:20 |
convert2json-bson-2.3.2-r0.apk | 1047 | 2025-Aug-10 09:20 |
convert2json-bson-jaq-2.3.2-r0.apk | 268481 | 2025-Aug-10 09:20 |
convert2json-bson-json-2.3.2-r0.apk | 256752 | 2025-Aug-10 09:20 |
convert2json-cbor-2.3.2-r0.apk | 1050 | 2025-Aug-10 09:20 |
convert2json-cbor-jaq-2.3.2-r0.apk | 234158 | 2025-Aug-10 09:20 |
convert2json-cbor-json-2.3.2-r0.apk | 222230 | 2025-Aug-10 09:20 |
convert2json-csv-2.3.2-r0.apk | 1051 | 2025-Aug-10 09:20 |
convert2json-csv-jaq-2.3.2-r0.apk | 254106 | 2025-Aug-10 09:20 |
convert2json-csv-json-2.3.2-r0.apk | 241712 | 2025-Aug-10 09:20 |
convert2json-doc-2.3.2-r0.apk | 13340 | 2025-Aug-10 09:20 |
convert2json-ini-2.3.2-r0.apk | 1048 | 2025-Aug-10 09:20 |
convert2json-ini-jaq-2.3.2-r0.apk | 216135 | 2025-Aug-10 09:20 |
convert2json-ini-json-2.3.2-r0.apk | 203263 | 2025-Aug-10 09:20 |
convert2json-jaq-2.3.2-r0.apk | 1131 | 2025-Aug-10 09:20 |
convert2json-json-2.3.2-r0.apk | 1113 | 2025-Aug-10 09:20 |
convert2json-messagepack-2.3.2-r0.apk | 1058 | 2025-Aug-10 09:20 |
convert2json-messagepack-jaq-2.3.2-r0.apk | 232099 | 2025-Aug-10 09:20 |
convert2json-messagepack-json-2.3.2-r0.apk | 220255 | 2025-Aug-10 09:20 |
convert2json-plist-2.3.2-r0.apk | 1048 | 2025-Aug-10 09:20 |
convert2json-plist-jaq-2.3.2-r0.apk | 275946 | 2025-Aug-10 09:20 |
convert2json-plist-json-2.3.2-r0.apk | 264331 | 2025-Aug-10 09:20 |
convert2json-rsv-2.3.2-r0.apk | 1046 | 2025-Aug-10 09:20 |
convert2json-rsv-jaq-2.3.2-r0.apk | 193929 | 2025-Aug-10 09:20 |
convert2json-rsv-json-2.3.2-r0.apk | 180295 | 2025-Aug-10 09:20 |
convert2json-toml-2.3.2-r0.apk | 1051 | 2025-Aug-10 09:20 |
convert2json-toml-jaq-2.3.2-r0.apk | 274244 | 2025-Aug-10 09:20 |
convert2json-toml-json-2.3.2-r0.apk | 262499 | 2025-Aug-10 09:20 |
convert2json-xml-2.3.2-r0.apk | 1050 | 2025-Aug-10 09:20 |
convert2json-xml-jaq-2.3.2-r0.apk | 230280 | 2025-Aug-10 09:20 |
convert2json-xml-json-2.3.2-r0.apk | 218061 | 2025-Aug-10 09:20 |
convert2json-yaml-2.3.2-r0.apk | 1051 | 2025-Aug-10 09:20 |
convert2json-yaml-jaq-2.3.2-r0.apk | 302243 | 2025-Aug-10 09:20 |
convert2json-yaml-json-2.3.2-r0.apk | 290273 | 2025-Aug-10 09:20 |
copyq-10.0.0-r0.apk | 2779786 | 2025-Jun-25 13:54 |
copyq-bash-completion-10.0.0-r0.apk | 2059 | 2025-Jun-25 13:54 |
copyq-doc-10.0.0-r0.apk | 3309 | 2025-Jun-25 13:54 |
corosync-3.1.9-r0.apk | 320690 | 2025-May-29 03:16 |
corosync-dev-3.1.9-r0.apk | 442903 | 2025-May-29 03:16 |
corosync-doc-3.1.9-r0.apk | 194560 | 2025-May-29 03:16 |
corosync-openrc-3.1.9-r0.apk | 1558 | 2025-May-29 03:16 |
cortex-tenant-1.15.2-r8.apk | 4177074 | 2025-Aug-08 16:54 |
cortex-tenant-openrc-1.15.2-r8.apk | 1828 | 2025-Aug-08 16:54 |
cosmic-icons-1.0.0_alpha7-r0.apk | 236762 | 2025-Apr-25 05:33 |
cowsay-3.04-r2.apk | 18550 | 2024-Oct-25 20:46 |
cowsay-doc-3.04-r2.apk | 3827 | 2024-Oct-25 20:46 |
coxeter-3.0-r1.apk | 49748 | 2024-Oct-25 20:46 |
coxeter-dev-3.0-r1.apk | 57839 | 2024-Oct-25 20:46 |
coxeter-libs-3.0-r1.apk | 347620 | 2024-Oct-25 20:46 |
cpdf-2.8.1-r0.apk | 2246788 | 2025-May-08 12:40 |
cpdf-doc-2.8.1-r0.apk | 571139 | 2025-May-08 12:40 |
cpiped-0.1.0-r0.apk | 7220 | 2024-Oct-25 20:46 |
cpp-httplib-0.26.0-r0.apk | 84468 | 2025-Aug-29 21:55 |
cpp-httplib-doc-0.26.0-r0.apk | 13448 | 2025-Aug-29 21:55 |
cpplint-2.0.2-r0.apk | 81957 | 2025-Apr-14 00:18 |
cpplint-pyc-2.0.2-r0.apk | 101612 | 2025-Apr-14 00:18 |
crazydiskinfo-1.1.0-r1.apk | 35315 | 2024-Oct-25 20:46 |
createrepo_c-1.1.4-r0.apk | 54186 | 2024-Oct-25 20:46 |
createrepo_c-bash-completion-1.1.4-r0.apk | 2683 | 2024-Oct-25 20:46 |
createrepo_c-dev-1.1.4-r0.apk | 31963 | 2024-Oct-25 20:46 |
createrepo_c-doc-1.1.4-r0.apk | 8597 | 2024-Oct-25 20:46 |
createrepo_c-libs-1.1.4-r0.apk | 91335 | 2024-Oct-25 20:46 |
crispy-doom-7.0-r0.apk | 1963560 | 2024-Oct-25 20:46 |
crispy-doom-doc-7.0-r0.apk | 109127 | 2024-Oct-25 20:46 |
croaring-4.3.6-r0.apk | 186065 | 2025-Jul-30 22:40 |
croaring-dev-4.3.6-r0.apk | 91634 | 2025-Jul-30 22:40 |
croaring-static-4.3.6-r0.apk | 222758 | 2025-Jul-30 22:40 |
crossplane-0.5.8-r3.apk | 30662 | 2024-Oct-25 20:46 |
crossplane-pyc-0.5.8-r3.apk | 39924 | 2024-Oct-25 20:46 |
crowdsec-1.7.0-r0.apk | 35690759 | 2025-Sep-01 18:18 |
crowdsec-email-plugin-1.7.0-r0.apk | 6365645 | 2025-Sep-01 18:18 |
crowdsec-http-plugin-1.7.0-r0.apk | 6323755 | 2025-Sep-01 18:18 |
crowdsec-openrc-1.7.0-r0.apk | 1574 | 2025-Sep-01 18:18 |
crowdsec-sentinel-plugin-1.7.0-r0.apk | 6319970 | 2025-Sep-01 18:18 |
crowdsec-slack-plugin-1.7.0-r0.apk | 6364164 | 2025-Sep-01 18:18 |
crowdsec-splunk-plugin-1.7.0-r0.apk | 6319101 | 2025-Sep-01 18:18 |
crun-vm-0.3.0-r0.apk | 1194724 | 2024-Nov-12 11:50 |
crun-vm-doc-0.3.0-r0.apk | 12934 | 2024-Nov-12 11:50 |
cscope-15.9-r1.apk | 157780 | 2024-Oct-25 20:46 |
cscope-doc-15.9-r1.apk | 7671 | 2024-Oct-25 20:46 |
csfml-2.5.2-r0.apk | 103718 | 2024-Oct-25 20:46 |
csfml-dev-2.5.2-r0.apk | 78787 | 2024-Oct-25 20:46 |
csfml-doc-2.5.2-r0.apk | 208636 | 2024-Oct-25 20:46 |
csmith-2.3.0-r2.apk | 327898 | 2024-Oct-25 20:46 |
csmith-doc-2.3.0-r2.apk | 2881 | 2024-Oct-25 20:46 |
csol-1.6.0-r0.apk | 40914 | 2024-Oct-25 20:46 |
csol-doc-1.6.0-r0.apk | 3673 | 2024-Oct-25 20:46 |
ctorrent-dnh-3.3.2-r2.apk | 90256 | 2024-Oct-25 20:46 |
cups-pdf-3.0.2-r0.apk | 22127 | 2025-Jul-04 21:12 |
curlftpfs-0.9.2-r3.apk | 24585 | 2024-Oct-25 20:46 |
curlftpfs-doc-0.9.2-r3.apk | 5996 | 2024-Oct-25 20:46 |
curtail-1.13.0-r0.apk | 30820 | 2025-Jul-05 20:40 |
curtail-lang-1.13.0-r0.apk | 79115 | 2025-Jul-05 20:40 |
cutechess-1.3.1-r0.apk | 1139312 | 2024-Oct-25 20:46 |
cutechess-cli-1.3.1-r0.apk | 352423 | 2024-Oct-25 20:46 |
cutechess-cli-doc-1.3.1-r0.apk | 6470 | 2024-Oct-25 20:46 |
cutechess-doc-1.3.1-r0.apk | 3388 | 2024-Oct-25 20:46 |
cvise-2.11.0-r0.apk | 5658747 | 2025-Mar-08 13:15 |
cvise-pyc-2.11.0-r0.apk | 60870 | 2025-Mar-08 13:15 |
cvs-fast-export-1.65-r0.apk | 50856 | 2024-Oct-25 20:46 |
cvs-fast-export-doc-1.65-r0.apk | 17599 | 2024-Oct-25 20:46 |
cvs-fast-export-tools-1.65-r0.apk | 8602 | 2024-Oct-25 20:46 |
cyrus-sasl-xoauth2-0.2-r1.apk | 7255 | 2024-Oct-25 20:46 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2059 | 2024-Oct-25 20:46 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 7382 | 2024-Oct-25 20:46 |
cz-viator-hourglass-black-20210706-r0.apk | 224305 | 2024-Oct-25 20:46 |
daemontools-0.76-r3.apk | 111915 | 2024-Oct-25 20:46 |
daemontools-openrc-0.76-r3.apk | 1740 | 2024-Oct-25 20:46 |
daktilo-0.6.0-r0.apk | 1928423 | 2024-Oct-25 20:46 |
daktilo-bash-completion-0.6.0-r0.apk | 1950 | 2024-Oct-25 20:46 |
daktilo-doc-0.6.0-r0.apk | 8609 | 2024-Oct-25 20:46 |
daktilo-fish-completion-0.6.0-r0.apk | 1718 | 2024-Oct-25 20:46 |
daktilo-zsh-completion-0.6.0-r0.apk | 2055 | 2024-Oct-25 20:46 |
darts-clone-0.32h-r0.apk | 44369 | 2025-Jul-06 07:10 |
darts-clone-dev-0.32h-r0.apk | 13244 | 2025-Jul-06 07:10 |
dasht-2.4.0-r0.apk | 14314 | 2024-Oct-25 20:46 |
dasht-doc-2.4.0-r0.apk | 11411 | 2024-Oct-25 20:46 |
dasht-zsh-completion-2.4.0-r0.apk | 1869 | 2024-Oct-25 20:46 |
davmail-6.4.0-r0.apk | 10245195 | 2025-Sep-02 13:17 |
dbmate-2.26.0-r4.apk | 10937836 | 2025-Aug-08 16:54 |
dbmate-doc-2.26.0-r4.apk | 2053 | 2025-Aug-08 16:54 |
dbus-broker-37-r0.apk | 86843 | 2025-Jun-17 10:18 |
dbus-broker-doc-37-r0.apk | 5752 | 2025-Jun-17 10:18 |
dcmtk-3.6.9-r0.apk | 1383983 | 2025-Jan-19 16:48 |
dcmtk-dev-3.6.9-r0.apk | 1697307 | 2025-Jan-19 16:48 |
dcmtk-doc-3.6.9-r0.apk | 263324 | 2025-Jan-19 16:48 |
dcmtk-openrc-3.6.9-r0.apk | 1457 | 2025-Jan-19 16:48 |
dcnnt-0.10.0-r1.apk | 28184 | 2024-Oct-25 20:46 |
dcnnt-doc-0.10.0-r1.apk | 6481 | 2024-Oct-25 20:46 |
dcnnt-pyc-0.10.0-r1.apk | 62884 | 2024-Oct-25 20:46 |
ddcci-driver-linux-src-0.4.5-r2.apk | 19308 | 2025-Mar-19 13:43 |
ddgr-2.2-r0.apk | 20311 | 2024-Oct-25 20:46 |
ddgr-bash-completion-2.2-r0.apk | 2012 | 2024-Oct-25 20:46 |
ddgr-doc-2.2-r0.apk | 11560 | 2024-Oct-25 20:46 |
ddgr-fish-completion-2.2-r0.apk | 2090 | 2024-Oct-25 20:46 |
ddgr-zsh-completion-2.2-r0.apk | 2490 | 2024-Oct-25 20:46 |
ddserver-0_git20200930-r1.apk | 12997 | 2024-Oct-25 20:46 |
deadbeef-soxr-20180801-r0.apk | 6750 | 2024-Oct-25 20:46 |
debconf-1.5.82-r0.apk | 70802 | 2024-Oct-25 20:46 |
debconf-bash-completion-1.5.82-r0.apk | 1637 | 2024-Oct-25 20:46 |
debconf-doc-1.5.82-r0.apk | 27183 | 2024-Oct-25 20:46 |
debconf-lang-1.5.82-r0.apk | 135340 | 2024-Oct-25 20:46 |
debconf-utils-1.5.82-r0.apk | 6556 | 2024-Oct-25 20:46 |
decoder-0.7.0-r0.apk | 2191417 | 2025-Apr-10 13:25 |
decoder-lang-0.7.0-r0.apk | 60118 | 2025-Apr-10 13:25 |
dehydrated-0.7.1-r0.apk | 26805 | 2024-Oct-25 20:46 |
desed-1.2.1-r1.apk | 427266 | 2024-Oct-25 20:46 |
desed-doc-1.2.1-r1.apk | 2682 | 2024-Oct-25 20:46 |
desync-0.9.6-r7.apk | 7668161 | 2025-Aug-08 16:54 |
detox-2.0.0-r0.apk | 112556 | 2024-Oct-25 20:46 |
detox-doc-2.0.0-r0.apk | 20967 | 2024-Oct-25 20:46 |
deviced-0_git20250427-r0.apk | 132716 | 2025-Jul-05 20:04 |
deviced-dev-0_git20250427-r0.apk | 26446 | 2025-Jul-05 20:04 |
deviced-openrc-0_git20250427-r0.apk | 1475 | 2025-Jul-05 20:04 |
devil-1.8.0-r0.apk | 292485 | 2024-Oct-25 20:46 |
devil-dev-1.8.0-r0.apk | 12942 | 2024-Oct-25 20:46 |
dewduct-0.2.3-r0.apk | 1232067 | 2024-Oct-25 20:46 |
dfl-applications-0.3.0-r0.apk | 72272 | 2025-Aug-21 08:05 |
dfl-applications-dev-0.3.0-r0.apk | 3759 | 2025-Aug-21 08:05 |
dfl-ipc-0.3.0-r0.apk | 51031 | 2025-Aug-21 08:05 |
dfl-ipc-dev-0.3.0-r0.apk | 4636 | 2025-Aug-21 08:05 |
dfl-login1-0.3.0-r0.apk | 36859 | 2025-Aug-21 08:05 |
dfl-login1-dev-0.3.0-r0.apk | 3520 | 2025-Aug-21 08:05 |
dfl-sni-0.3.0-r0.apk | 64364 | 2025-Aug-21 08:05 |
dfl-sni-dev-0.3.0-r0.apk | 4809 | 2025-Aug-21 08:05 |
dfu-programmer-1.1.0-r0.apk | 35317 | 2024-Oct-25 20:46 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2585 | 2024-Oct-25 20:46 |
dfu-programmer-doc-1.1.0-r0.apk | 5635 | 2024-Oct-25 20:46 |
dhewm3-1.5.4-r0.apk | 5445073 | 2025-Feb-18 22:21 |
diceware-1.0.1-r0.apk | 341777 | 2025-Jan-13 22:50 |
diceware-pyc-1.0.1-r0.apk | 18223 | 2025-Jan-13 22:50 |
disfetch-3.7-r0.apk | 8225 | 2024-Oct-25 20:46 |
diskonaut-0.11.0-r3.apk | 484440 | 2024-Oct-25 20:46 |
diskus-0.8.0-r0.apk | 372488 | 2025-May-18 22:16 |
dislocker-0.7.3-r6.apk | 16743 | 2025-Jul-19 22:57 |
dislocker-doc-0.7.3-r6.apk | 5900 | 2025-Jul-19 22:57 |
dislocker-libs-0.7.3-r6.apk | 46292 | 2025-Jul-19 22:57 |
distribution-gpg-keys-1.114-r0.apk | 646613 | 2025-Sep-02 07:49 |
dive-0.13.0-r4.apk | 3855788 | 2025-Aug-08 16:54 |
dlib-19.24.4-r0.apk | 809004 | 2024-Oct-25 20:46 |
dlib-dev-19.24.4-r0.apk | 2546573 | 2024-Oct-25 20:46 |
dmarc-cat-0.15.0-r7.apk | 2773023 | 2025-Aug-08 16:54 |
dmarc-metrics-exporter-1.2.0-r0.apk | 25616 | 2024-Nov-29 22:15 |
dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1630 | 2024-Nov-29 22:15 |
dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 47313 | 2024-Nov-29 22:15 |
dmenu-wl-0.1-r0.apk | 19102 | 2025-Jul-02 10:33 |
dmenu-wl-doc-0.1-r0.apk | 3916 | 2025-Jul-02 10:33 |
dnote-0.15.1-r7.apk | 4628002 | 2025-Aug-08 16:54 |
dnote-bash-completion-0.15.1-r7.apk | 1882 | 2025-Aug-08 16:54 |
dnote-doc-0.15.1-r7.apk | 14666 | 2025-Aug-08 16:54 |
dnote-zsh-completion-0.15.1-r7.apk | 1812 | 2025-Aug-08 16:54 |
dnscontrol-4.24.0-r0.apk | 14982542 | 2025-Aug-30 23:01 |
dnscontrol-doc-4.24.0-r0.apk | 2072 | 2025-Aug-30 23:01 |
dnscrypt-wrapper-0.4.2-r3.apk | 30244 | 2024-Oct-25 20:46 |
dnsenum-1.3.2-r0.apk | 21472 | 2024-Oct-25 20:46 |
dnsenum-doc-1.3.2-r0.apk | 5100 | 2024-Oct-25 20:46 |
dnsperf-2.14.0-r0.apk | 72729 | 2024-Oct-25 20:46 |
dnsperf-doc-2.14.0-r0.apk | 35512 | 2024-Oct-25 20:46 |
dnssec-tools-2.2.3-r13.apk | 790360 | 2025-Jun-30 09:24 |
dnssec-tools-dev-2.2.3-r13.apk | 197074 | 2025-Jun-30 09:24 |
dnssec-tools-doc-2.2.3-r13.apk | 324361 | 2025-Jun-30 09:24 |
doasedit-1.0.8-r0.apk | 3033 | 2025-Aug-06 08:07 |
docker-auth-1.13.0-r7.apk | 10113280 | 2025-Aug-08 16:54 |
docker-auth-doc-1.13.0-r7.apk | 10406 | 2025-Aug-08 16:54 |
docker-auth-openrc-1.13.0-r7.apk | 1850 | 2025-Aug-08 16:54 |
docker-volume-local-persist-1.3.0-r35.apk | 2534226 | 2025-Aug-08 16:54 |
docker-volume-local-persist-openrc-1.3.0-r35.apk | 1561 | 2025-Aug-08 16:54 |
dockerize-0.9.3-r3.apk | 3380849 | 2025-Aug-08 16:54 |
dolt-1.58.5-r0.apk | 38925394 | 2025-Aug-25 17:27 |
dooit-3.2.2-r0.apk | 45645 | 2025-May-16 10:33 |
dooit-extras-0.2.0-r0.apk | 12774 | 2024-Dec-07 20:31 |
dooit-extras-pyc-0.2.0-r0.apk | 23074 | 2024-Dec-07 20:31 |
dooit-pyc-3.2.2-r0.apk | 102485 | 2025-May-16 10:33 |
downloader-cli-0.3.4-r2.apk | 1758 | 2025-May-14 18:20 |
draco-1.5.7-r2.apk | 875845 | 2025-Feb-18 22:21 |
draco-dev-1.5.7-r2.apk | 209359 | 2025-Feb-18 22:21 |
draco-static-1.5.7-r2.apk | 1567065 | 2025-Feb-18 22:21 |
draco-tools-1.5.7-r2.apk | 1297693 | 2025-Feb-18 22:21 |
draw-0.1.1-r15.apk | 979512 | 2025-Aug-08 16:54 |
drogon-1.9.4-r2.apk | 1686240 | 2025-May-22 06:59 |
drogon-dev-1.9.4-r2.apk | 123995 | 2025-May-22 06:59 |
drogon-doc-1.9.4-r2.apk | 2061 | 2025-May-22 06:59 |
droidcam-2.1.3-r2.apk | 19862 | 2025-Aug-27 17:13 |
droidcam-gui-2.1.3-r2.apk | 29410 | 2025-Aug-27 17:13 |
drone-cli-1.8.0-r12.apk | 5900004 | 2025-Aug-08 16:54 |
dropwatch-1.5.5-r1.apk | 18041 | 2025-Jul-28 14:39 |
dropwatch-doc-1.5.5-r1.apk | 3521 | 2025-Jul-28 14:39 |
drumgizmo-0.9.20-r1.apk | 424310 | 2024-Oct-25 20:46 |
drupal7-7.103-r0.apk | 3443578 | 2024-Dec-05 11:04 |
drupal7-doc-7.103-r0.apk | 58579 | 2024-Dec-05 11:04 |
dsp-2.0-r1.apk | 173528 | 2025-Aug-27 17:13 |
dsp-doc-2.0-r1.apk | 10108 | 2025-Aug-27 17:13 |
dstask-0.27-r1.apk | 1553293 | 2025-Aug-08 16:54 |
dstask-bash-completion-0.27-r1.apk | 1895 | 2025-Aug-08 16:54 |
dstask-fish-completion-0.27-r1.apk | 1445 | 2025-Aug-08 16:54 |
dstask-import-0.27-r1.apk | 3523736 | 2025-Aug-08 16:54 |
dstask-zsh-completion-0.27-r1.apk | 1438 | 2025-Aug-08 16:54 |
dublin-traceroute-0.4.2-r4.apk | 48876 | 2024-Oct-25 20:46 |
dublin-traceroute-contrib-0.4.2-r4.apk | 2664 | 2024-Oct-25 20:46 |
dublin-traceroute-dev-0.4.2-r4.apk | 6793 | 2024-Oct-25 20:46 |
dublin-traceroute-doc-0.4.2-r4.apk | 2094 | 2024-Oct-25 20:46 |
duc-1.4.5-r0.apk | 90129 | 2024-Oct-25 20:46 |
duc-doc-1.4.5-r0.apk | 9036 | 2024-Oct-25 20:46 |
duf-0.8.1-r28.apk | 1090414 | 2025-Aug-08 16:54 |
dufs-0.44.0-r0.apk | 1618357 | 2025-Aug-18 00:54 |
dufs-bash-completion-0.44.0-r0.apk | 2135 | 2025-Aug-18 00:54 |
dufs-doc-0.44.0-r0.apk | 10593 | 2025-Aug-18 00:54 |
dufs-fish-completion-0.44.0-r0.apk | 2204 | 2025-Aug-18 00:54 |
dufs-zsh-completion-0.44.0-r0.apk | 2520 | 2025-Aug-18 00:54 |
dulcepan-1.0.2-r0.apk | 21528 | 2024-Oct-25 20:46 |
dum-0.1.20-r1.apk | 389387 | 2025-Mar-29 14:01 |
dune-deps-1.3.0-r2.apk | 852593 | 2024-Oct-25 20:46 |
dustracing2d-2.1.1-r1.apk | 5414062 | 2024-Oct-25 20:46 |
dvdbackup-0.4.2-r1.apk | 16999 | 2024-Oct-25 20:46 |
dvdbackup-doc-0.4.2-r1.apk | 7763 | 2024-Oct-25 20:46 |
dvdbackup-lang-0.4.2-r1.apk | 1463 | 2024-Oct-25 20:46 |
dwl-0.7-r0.apk | 30136 | 2024-Oct-25 20:46 |
dwl-doc-0.7-r0.apk | 2934 | 2024-Oct-25 20:46 |
e16-1.0.30-r0.apk | 823416 | 2024-Nov-05 13:22 |
e16-doc-1.0.30-r0.apk | 27639 | 2024-Nov-05 13:22 |
e16-lang-1.0.30-r0.apk | 388473 | 2024-Nov-05 13:22 |
eatmemory-0.1.6-r2.apk | 4358 | 2024-Oct-25 20:46 |
eboard-1.1.3-r1.apk | 1536008 | 2024-Oct-25 20:46 |
eboard-doc-1.1.3-r1.apk | 4504 | 2024-Oct-25 20:46 |
ecasound-2.9.3-r4.apk | 721559 | 2025-Feb-24 21:25 |
ecasound-dev-2.9.3-r4.apk | 1224920 | 2025-Feb-24 21:25 |
ecasound-doc-2.9.3-r4.apk | 39105 | 2025-Feb-24 21:25 |
eccodes-2.42.0-r0.apk | 11560534 | 2025-Jul-07 16:04 |
eclib-20250627-r0.apk | 396144 | 2025-Jun-28 06:10 |
eclib-dev-20250627-r0.apk | 97804 | 2025-Jun-28 06:10 |
eclib-doc-20250627-r0.apk | 28347 | 2025-Jun-28 06:10 |
eclib-libs-20250627-r0.apk | 1359792 | 2025-Jun-28 06:10 |
eclib-static-20250627-r0.apk | 21286734 | 2025-Jun-28 06:10 |
eclipse-ecj-4.36-r1.apk | 2662903 | 2025-Jul-15 23:41 |
ecos-2.0.10-r0.apk | 44417 | 2024-Oct-25 20:46 |
ecos-dev-2.0.10-r0.apk | 28595 | 2024-Oct-25 20:46 |
edit-1.2.0-r0.apk | 276540 | 2025-Jun-12 19:44 |
edit-doc-1.2.0-r0.apk | 2035 | 2025-Jun-12 19:44 |
edward-1.1.0-r0.apk | 2051430 | 2024-Oct-25 20:46 |
edward-doc-1.1.0-r0.apk | 5132 | 2024-Oct-25 20:46 |
efl-1.28.1-r2.apk | 35748254 | 2025-Mar-23 15:04 |
efl-dev-1.28.1-r2.apk | 1908680 | 2025-Mar-23 15:04 |
efl-gdb-1.28.1-r2.apk | 1466 | 2025-Mar-23 15:04 |
eiwd-3.9-r0.apk | 924071 | 2025-Jul-22 12:27 |
eiwd-doc-3.9-r0.apk | 20657 | 2025-Jul-22 12:27 |
eiwd-openrc-3.9-r0.apk | 1662 | 2025-Jul-22 12:27 |
elastic-beats-8.14.2-r7.apk | 1001 | 2025-Aug-08 16:54 |
elementary-calculator-8.0.1-r0.apk | 74000 | 2025-Sep-02 02:30 |
elementary-calculator-lang-8.0.1-r0.apk | 60657 | 2025-Sep-02 02:30 |
elementary-camera-8.0.2-r0.apk | 90218 | 2025-Sep-02 02:26 |
elementary-camera-lang-8.0.2-r0.apk | 35749 | 2025-Sep-02 02:26 |
elementary-dock-8.0.2-r0.apk | 93280 | 2025-May-24 23:30 |
elementary-dock-lang-8.0.2-r0.apk | 27952 | 2025-May-24 23:30 |
elementary-feedback-8.0.1-r0.apk | 47176 | 2025-May-14 17:28 |
elementary-feedback-lang-8.0.1-r0.apk | 47338 | 2025-May-14 17:28 |
elementary-icon-theme-8.1.0-r0.apk | 5293380 | 2025-May-14 17:28 |
elementary-music-8.0.0-r0.apk | 76726 | 2024-Oct-28 22:07 |
elementary-music-lang-8.0.0-r0.apk | 48362 | 2024-Oct-28 22:07 |
elementary-photos-8.0.1-r0.apk | 1249719 | 2024-Dec-02 23:04 |
elementary-photos-lang-8.0.1-r0.apk | 1051802 | 2024-Dec-02 23:04 |
elementary-settings-daemon-8.3.0-r0.apk | 86975 | 2025-Jun-15 03:44 |
elementary-settings-daemon-lang-8.3.0-r0.apk | 75541 | 2025-Jun-15 03:44 |
elementary-settings-daemon-openrc-8.3.0-r0.apk | 1576 | 2025-Jun-15 03:44 |
elementary-sound-theme-1.1.0-r0.apk | 84800 | 2024-Nov-10 22:08 |
elementary-theme-8.1.0-r0.apk | 1570892 | 2025-Jan-12 20:37 |
elementary-videos-8.0.2-r0.apk | 120834 | 2025-Sep-02 02:28 |
elementary-videos-lang-8.0.2-r0.apk | 85153 | 2025-Sep-02 02:28 |
elf_diff-0.7.1-r3.apk | 110608 | 2024-Oct-25 20:46 |
elf_diff-pyc-0.7.1-r3.apk | 110290 | 2024-Oct-25 20:46 |
elfio-3.12-r0.apk | 1187 | 2024-Oct-25 20:46 |
elfio-dev-3.12-r0.apk | 56053 | 2024-Oct-25 20:46 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 23056 | 2024-Oct-25 20:46 |
emacs-avy-0.5.0_git20230420-r0.apk | 44217 | 2024-Oct-25 20:46 |
emacs-avy-embark-collect-1.1-r0.apk | 3652 | 2025-Apr-23 05:43 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 56130 | 2024-Oct-25 20:46 |
emacs-closql-1.2.1_git20240712-r0.apk | 14447 | 2024-Oct-25 20:46 |
emacs-consult-1.4_git20240405-r0.apk | 140799 | 2024-Oct-25 20:46 |
emacs-derl-0_git20231004-r1.apk | 23738 | 2025-Jul-29 04:36 |
emacs-elfeed-3.4.2-r0.apk | 92462 | 2025-Apr-23 05:12 |
emacs-emacsql-3.1.1_git20240714-r0.apk | 22875 | 2024-Oct-25 20:46 |
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 5941 | 2024-Oct-25 20:46 |
emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5728 | 2024-Oct-25 20:46 |
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 18488 | 2024-Oct-25 20:46 |
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0..> | 4129 | 2024-Oct-25 20:46 |
emacs-embark-1.1-r0.apk | 113297 | 2025-Apr-23 05:43 |
emacs-embark-consult-1.1-r0.apk | 10395 | 2025-Apr-23 05:43 |
emacs-ement-0.16-r0.apk | 297419 | 2025-Apr-23 05:12 |
emacs-epkg-3.3.3_git20240713-r0.apk | 37436 | 2024-Oct-25 20:46 |
emacs-fossil-0_git20230504-r0.apk | 14711 | 2024-Oct-25 20:46 |
emacs-gnosis-0.3.2-r0.apk | 63367 | 2024-Oct-25 20:46 |
emacs-hackernews-0.7.0-r0.apk | 15633 | 2024-Oct-25 20:46 |
emacs-helm-3.9.7_git20240329-r0.apk | 834470 | 2024-Oct-25 20:46 |
emacs-hnreader-0_git20221116-r0.apk | 9741 | 2024-Oct-25 20:46 |
emacs-hydra-0.15.0_git20220910-r0.apk | 46802 | 2024-Oct-25 20:46 |
emacs-llama-1.0.0-r0.apk | 12695 | 2025-Jul-29 04:36 |
emacs-lsp-booster-0.2.1-r0.apk | 473208 | 2025-Apr-12 12:21 |
emacs-lsp-booster-doc-0.2.1-r0.apk | 2056 | 2025-Apr-12 12:21 |
emacs-persist-0.6_git20240114-r0.apk | 6522 | 2024-Oct-25 20:46 |
emacs-powerline-2.4_git20221110-r0.apk | 29349 | 2024-Oct-25 20:46 |
emacs-sqlite3-api-0.18-r0.apk | 18304 | 2024-Oct-25 20:46 |
emacs-svg-lib-0_git20240219-r0.apk | 19183 | 2024-Oct-25 20:46 |
emacs-taxy-0.10.2-r0.apk | 11475 | 2025-Apr-23 05:43 |
emacs-taxy-magit-section-0.14.3-r0.apk | 17846 | 2025-Apr-23 05:43 |
emacs-total-recall-0_git20250426-r0.apk | 17755 | 2025-May-04 02:50 |
emacs-total-recall-examples-0_git20250426-r0.apk | 13997 | 2025-May-04 02:50 |
empede-0.2.3-r0.apk | 2165815 | 2024-Oct-25 20:46 |
empede-doc-0.2.3-r0.apk | 2076 | 2024-Oct-25 20:46 |
empede-openrc-0.2.3-r0.apk | 1703 | 2024-Oct-25 20:46 |
emulationstation-2.11.2-r1.apk | 1372549 | 2024-Oct-25 20:46 |
emulationstation-theme-gbz35-2.11.2-r1.apk | 3413004 | 2024-Oct-25 20:46 |
endeavour-43.0-r2.apk | 203440 | 2024-Dec-08 21:45 |
endeavour-dev-43.0-r2.apk | 46415 | 2024-Dec-08 21:45 |
endeavour-doc-43.0-r2.apk | 69439 | 2024-Dec-08 21:45 |
endeavour-lang-43.0-r2.apk | 207751 | 2024-Dec-08 21:45 |
endless-sky-0.10.2-r0.apk | 254146308 | 2024-Oct-25 20:47 |
endless-sky-doc-0.10.2-r0.apk | 37122 | 2024-Oct-25 20:47 |
endlessh-1.1-r1.apk | 9756 | 2025-May-25 07:56 |
endlessh-doc-1.1-r1.apk | 2459 | 2025-May-25 07:56 |
enjoy-0.3-r1.apk | 12461 | 2024-Oct-25 20:47 |
enlighten-0.9.2-r1.apk | 8090 | 2024-Oct-25 20:47 |
enlighten-doc-0.9.2-r1.apk | 3325 | 2024-Oct-25 20:47 |
envconsul-0.13.3-r5.apk | 4911216 | 2025-Aug-08 16:54 |
envsubst-0.1-r1.apk | 5237 | 2024-Oct-25 20:47 |
epic6-0_git20250630-r0.apk | 392862 | 2025-Jul-21 08:48 |
epic6-doc-0_git20250630-r0.apk | 17341 | 2025-Jul-21 08:48 |
epic6-script-0_git20250630-r0.apk | 152222 | 2025-Jul-21 08:48 |
epoch-1.3.0-r2.apk | 55188 | 2024-Oct-25 20:47 |
epr-2.4.15-r1.apk | 15813 | 2024-Oct-25 20:47 |
epr-pyc-2.4.15-r1.apk | 24744 | 2024-Oct-25 20:47 |
ergo-ldap-0.0.1-r19.apk | 2170256 | 2025-Aug-08 16:54 |
ergo-ldap-doc-0.0.1-r19.apk | 2075 | 2025-Aug-08 16:54 |
errands-46.2.8-r0.apk | 85815 | 2025-May-22 14:44 |
errands-lang-46.2.8-r0.apk | 72627 | 2025-May-22 14:44 |
espeakup-0.90-r2.apk | 12272 | 2024-Oct-25 20:47 |
espeakup-openrc-0.90-r2.apk | 1589 | 2024-Oct-25 20:47 |
esptool-4.8.1-r0.apk | 433922 | 2024-Oct-25 20:47 |
esptool-pyc-4.8.1-r0.apk | 562256 | 2024-Oct-25 20:47 |
ettercap-0.8.3.1-r3.apk | 625478 | 2024-Oct-25 20:47 |
ettercap-doc-0.8.3.1-r3.apk | 45941 | 2024-Oct-25 20:47 |
eva-0.3.1-r2.apk | 675101 | 2024-Oct-25 20:47 |
evolution-on-3.24.4-r0.apk | 11428 | 2024-Oct-30 14:26 |
exabgp-4.2.24-r0.apk | 393600 | 2025-Mar-15 22:12 |
exabgp-doc-4.2.24-r0.apk | 7969 | 2025-Mar-15 22:12 |
exabgp-openrc-4.2.24-r0.apk | 1977 | 2025-Mar-15 22:12 |
exabgp-pyc-4.2.24-r0.apk | 796627 | 2025-Mar-15 22:12 |
exercism-3.2.0-r15.apk | 4121918 | 2025-Aug-08 16:54 |
exercism-bash-completion-3.2.0-r15.apk | 1745 | 2025-Aug-08 16:54 |
exercism-fish-completion-3.2.0-r15.apk | 2154 | 2025-Aug-08 16:54 |
exercism-zsh-completion-3.2.0-r15.apk | 1895 | 2025-Aug-08 16:54 |
extrace-0.9-r0.apk | 11722 | 2024-Oct-25 20:47 |
extrace-doc-0.9-r0.apk | 3334 | 2024-Oct-25 20:47 |
extremetuxracer-0.8.3-r0.apk | 41460481 | 2024-Oct-25 20:47 |
extremetuxracer-doc-0.8.3-r0.apk | 6598 | 2024-Oct-25 20:47 |
extundelete-0.2.4-r1.apk | 41370 | 2024-Oct-25 20:47 |
fabric-3.2.2-r1.apk | 55680 | 2024-Oct-25 20:47 |
fabric-pyc-3.2.2-r1.apk | 61325 | 2024-Oct-25 20:47 |
fakeroot-tcp-1.32.1-r1.apk | 30795 | 2024-Oct-25 20:47 |
fastd-23-r0.apk | 74570 | 2025-Jan-27 21:33 |
fastd-doc-23-r0.apk | 3092 | 2025-Jan-27 21:33 |
fastd-openrc-23-r0.apk | 1471 | 2025-Jan-27 21:33 |
fatback-1.3-r2.apk | 30151 | 2024-Oct-25 20:47 |
fatback-doc-1.3-r2.apk | 16206 | 2024-Oct-25 20:47 |
fathom-1.3.1-r15.apk | 4855418 | 2025-Aug-08 16:54 |
fatrace-0.18.0-r0.apk | 10816 | 2025-Jul-27 19:30 |
fatrace-doc-0.18.0-r0.apk | 3125 | 2025-Jul-27 19:30 |
fatresize-1.1.0-r1.apk | 8815 | 2024-Oct-25 20:47 |
fatresize-doc-1.1.0-r1.apk | 15296 | 2024-Oct-25 20:47 |
faultstat-0.01.11-r0.apk | 14130 | 2024-Oct-25 20:47 |
faultstat-bash-completion-0.01.11-r0.apk | 2085 | 2024-Oct-25 20:47 |
faultstat-doc-0.01.11-r0.apk | 2841 | 2024-Oct-25 20:47 |
faust-2.79.3-r0.apk | 8261528 | 2025-Jun-07 18:47 |
faust-dev-2.79.3-r0.apk | 1439780 | 2025-Jun-07 18:47 |
faust-doc-2.79.3-r0.apk | 17502880 | 2025-Jun-07 18:47 |
faust-static-2.79.3-r0.apk | 576140 | 2025-Jun-07 18:47 |
faust-tools-2.79.3-r0.apk | 126008 | 2025-Jun-07 18:47 |
faust-vim-2.79.3-r0.apk | 2403 | 2025-Jun-07 18:47 |
fava-1.28-r0.apk | 1124794 | 2024-Oct-25 20:47 |
fava-pyc-1.28-r0.apk | 167773 | 2024-Oct-25 20:47 |
fbcur-1.0.1-r1.apk | 6725 | 2024-Oct-25 20:47 |
fbcur-doc-1.0.1-r1.apk | 1951 | 2024-Oct-25 20:47 |
fceux-2.6.6-r3.apk | 3044360 | 2025-Aug-27 17:13 |
fceux-doc-2.6.6-r3.apk | 106916 | 2025-Aug-27 17:13 |
fdm-materials-5.2.2-r1.apk | 60834 | 2024-Oct-25 20:47 |
featherpad-1.5.1-r0.apk | 764006 | 2024-Oct-25 20:47 |
featherpad-lang-1.5.1-r0.apk | 473598 | 2024-Oct-25 20:47 |
felix-2.16.1-r0.apk | 728471 | 2025-May-16 11:14 |
femto-2.21.7-r0.apk | 62016 | 2025-Jun-19 06:37 |
femto-doc-2.21.7-r0.apk | 27758 | 2025-Jun-19 06:37 |
fff-2.2-r0.apk | 10994 | 2024-Oct-25 20:47 |
fff-doc-2.2-r0.apk | 9202 | 2024-Oct-25 20:47 |
fflas-ffpack-2.5.0-r3.apk | 353375 | 2024-Oct-25 20:47 |
ffms2-5.0-r1.apk | 76913 | 2025-Aug-27 17:13 |
ffms2-dev-5.0-r1.apk | 7445 | 2025-Aug-27 17:13 |
ffms2-doc-5.0-r1.apk | 30690 | 2025-Aug-27 17:13 |
ffsend-0.2.76-r4.apk | 1666991 | 2024-Oct-25 20:47 |
ffsend-bash-completion-0.2.76-r4.apk | 3432 | 2024-Oct-25 20:47 |
ffsend-fish-completion-0.2.76-r4.apk | 3389 | 2024-Oct-25 20:47 |
ffsend-zsh-completion-0.2.76-r4.apk | 4407 | 2024-Oct-25 20:47 |
fheroes2-1.1.10-r0.apk | 1805282 | 2025-Jul-21 21:16 |
fheroes2-lang-1.1.10-r0.apk | 1803252 | 2025-Jul-21 21:16 |
fildesh-0.2.0-r0.apk | 73295 | 2024-Oct-25 20:47 |
fildesh-doc-0.2.0-r0.apk | 1886 | 2024-Oct-25 20:47 |
fildesh-vim-0.2.0-r0.apk | 3368 | 2024-Oct-25 20:47 |
filebeat-8.14.2-r7.apk | 29552329 | 2025-Aug-08 16:54 |
filebeat-openrc-8.14.2-r7.apk | 1771 | 2025-Aug-08 16:54 |
filebrowser-2.27.0-r13.apk | 7506538 | 2025-Aug-08 16:54 |
filebrowser-openrc-2.27.0-r13.apk | 1599 | 2025-Aug-08 16:54 |
fileshelter-6.2.0-r3.apk | 333727 | 2025-Feb-25 06:44 |
fileshelter-openrc-6.2.0-r3.apk | 1413 | 2025-Feb-25 06:44 |
findtow-0.1-r0.apk | 5264 | 2024-Oct-25 20:47 |
finger-0.5-r0.apk | 8877 | 2024-Oct-25 20:47 |
finger-doc-0.5-r0.apk | 3624 | 2024-Oct-25 20:47 |
firehol-3.1.7-r2.apk | 86521 | 2024-Oct-25 20:47 |
firehol-doc-3.1.7-r2.apk | 690519 | 2024-Oct-25 20:47 |
firehol-openrc-3.1.7-r2.apk | 1834 | 2024-Oct-25 20:47 |
flamelens-0.3.1-r0.apk | 1280812 | 2025-Apr-29 08:21 |
flamelens-doc-0.3.1-r0.apk | 3483 | 2025-Apr-29 08:21 |
flang-next-22.0.0_pre20250830-r0.apk | 25685015 | 2025-Aug-31 17:48 |
flang-next-dev-22.0.0_pre20250830-r0.apk | 1009678 | 2025-Aug-31 17:48 |
flang-next-static-22.0.0_pre20250830-r0.apk | 46434610 | 2025-Aug-31 17:48 |
flann-1.9.2-r1.apk | 1824580 | 2025-Feb-18 22:21 |
flann-dev-1.9.2-r1.apk | 1038358 | 2025-Feb-18 22:21 |
flann-doc-1.9.2-r1.apk | 2326 | 2025-Feb-18 22:21 |
flare-engine-1.14-r0.apk | 4834278 | 2024-Oct-25 20:47 |
flare-engine-doc-1.14-r0.apk | 2259 | 2024-Oct-25 20:47 |
flare-game-1.14-r0.apk | 1976 | 2024-Oct-25 20:47 |
flatpak-xdg-utils-1.0.6-r0.apk | 23361 | 2024-Oct-25 20:47 |
flatseal-2.3.1-r0.apk | 43579 | 2025-Jun-19 15:30 |
flatseal-doc-2.3.1-r0.apk | 8400 | 2025-Jun-19 15:30 |
flatseal-lang-2.3.1-r0.apk | 80561 | 2025-Jun-19 15:30 |
flauschige-uhr-0.1-r1.apk | 4408 | 2024-Oct-25 20:47 |
flawz-0.3.0-r0.apk | 1331186 | 2024-Nov-03 21:10 |
flawz-bash-completion-0.3.0-r0.apk | 1902 | 2024-Nov-03 21:10 |
flawz-doc-0.3.0-r0.apk | 5866 | 2024-Nov-03 21:10 |
flawz-fish-completion-0.3.0-r0.apk | 1690 | 2024-Nov-03 21:10 |
flawz-zsh-completion-0.3.0-r0.apk | 2014 | 2024-Nov-03 21:10 |
flightgear-2024.1.1-r0.apk | 11601474 | 2025-Mar-05 00:21 |
flightgear-bash-completion-2024.1.1-r0.apk | 5365 | 2025-Mar-05 00:21 |
flightgear-dbg-2024.1.1-r0.apk | 22799656 | 2025-Mar-05 00:21 |
flightgear-doc-2024.1.1-r0.apk | 59194 | 2025-Mar-05 00:21 |
flightgear-zsh-completion-2024.1.1-r0.apk | 7240 | 2025-Mar-05 00:21 |
flint-3.3.1-r0.apk | 4791838 | 2025-Jun-17 04:30 |
flint-dev-3.3.1-r0.apk | 318408 | 2025-Jun-17 04:30 |
flintqs-1.0-r1.apk | 22830 | 2024-Oct-25 20:47 |
flowd-0.9.1-r11.apk | 76012 | 2025-Jun-30 09:24 |
flowd-dev-0.9.1-r11.apk | 8010 | 2025-Jun-30 09:24 |
flowd-doc-0.9.1-r11.apk | 10061 | 2025-Jun-30 09:24 |
flowd-openrc-0.9.1-r11.apk | 1674 | 2025-Jun-30 09:24 |
fnf-0.1-r0.apk | 20095 | 2024-Oct-25 20:47 |
fnf-doc-0.1-r0.apk | 4436 | 2024-Oct-25 20:47 |
foma-0.10.0_git20240712-r0.apk | 354081 | 2024-Oct-25 20:47 |
foma-dev-0.10.0_git20240712-r0.apk | 8410 | 2024-Oct-25 20:47 |
font-anonymous-pro-1.002-r2.apk | 270822 | 2024-Oct-25 20:47 |
font-aref-ruqaa-1.006-r0.apk | 365618 | 2025-Apr-12 12:25 |
font-babelstone-han-15.1.3-r0.apk | 19204100 | 2024-Oct-25 20:47 |
font-cascadia-2407.24-r1.apk | 1028 | 2025-May-27 14:37 |
font-cascadia-code-2407.24-r1.apk | 538523 | 2025-May-27 14:37 |
font-cascadia-mono-2407.24-r1.apk | 518736 | 2025-May-27 14:37 |
font-chivo-0_git20221110-r0.apk | 811104 | 2024-Oct-25 20:47 |
font-chivo-mono-0_git20221110-r0.apk | 640619 | 2024-Oct-25 20:47 |
font-comic-neue-2.51-r0.apk | 254743 | 2024-Oct-25 20:47 |
font-comic-neue-doc-2.51-r0.apk | 1028163 | 2024-Oct-25 20:47 |
font-commit-mono-1.143-r0.apk | 257041 | 2024-Oct-25 20:47 |
font-cousine-0_git20210228-r0.apk | 112361 | 2024-Oct-25 20:47 |
font-fantasque-sans-1.8.0-r0.apk | 1229 | 2024-Oct-25 20:47 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5625 | 2024-Oct-25 20:47 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 323420 | 2024-Oct-25 20:47 |
font-fantasque-sans-largelineheightnoloopk-1.8.0..> | 323418 | 2024-Oct-25 20:47 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 323399 | 2024-Oct-25 20:47 |
font-fantasque-sans-normal-1.8.0-r0.apk | 323414 | 2024-Oct-25 20:47 |
font-fira-code-6.2-r0.apk | 856070 | 2024-Oct-25 20:47 |
font-fira-code-vf-6.2-r0.apk | 148541 | 2024-Oct-25 20:47 |
font-firamath-0.3.4-r0.apk | 121165 | 2024-Oct-25 20:47 |
font-fontawesome-4-4.7.0-r3.apk | 209931 | 2024-Oct-25 20:47 |
font-hanazono-20170904-r1.apk | 30352280 | 2024-Oct-25 20:47 |
font-intel-one-mono-1.3.0-r0.apk | 287934 | 2024-Oct-25 20:47 |
font-katex-0.16.2-r0.apk | 872000 | 2024-Oct-25 20:47 |
font-material-icons-4.0.0-r0.apk | 667233 | 2024-Oct-25 20:47 |
font-monaspace-1.101-r0.apk | 1224 | 2024-Oct-25 20:47 |
font-monaspace-argon-1.101-r0.apk | 2315430 | 2024-Oct-25 20:47 |
font-monaspace-krypton-1.101-r0.apk | 2168816 | 2024-Oct-25 20:47 |
font-monaspace-neon-1.101-r0.apk | 2238807 | 2024-Oct-25 20:47 |
font-monaspace-radon-1.101-r0.apk | 2877953 | 2024-Oct-25 20:47 |
font-monaspace-xenon-1.101-r0.apk | 2452208 | 2024-Oct-25 20:47 |
font-monocraft-4.0-r0.apk | 692728 | 2024-Oct-25 20:47 |
font-openmoji-16.0.0-r0.apk | 1437426 | 2025-Aug-11 06:32 |
font-siji-20190218_git-r2.apk | 25080 | 2024-Oct-25 20:47 |
font-stix-otf-2.13-r0.apk | 2139700 | 2024-Oct-25 20:47 |
font-stix-ttf-2.13-r0.apk | 440114 | 2024-Oct-25 20:47 |
font-tamzen-1.11.5-r1.apk | 63353 | 2024-Oct-25 20:47 |
font-terminus-ttf-4.49.3-r0.apk | 550506 | 2025-Jul-11 19:54 |
font-tinos-0_git20210228-r0.apk | 203188 | 2024-Oct-25 20:47 |
font-tiresias-0_git20200704-r0.apk | 581637 | 2024-Oct-25 20:47 |
font-tiresias-doc-0_git20200704-r0.apk | 59278 | 2024-Oct-25 20:47 |
foolsm-1.0.21-r0.apk | 34948 | 2024-Oct-25 20:47 |
foolsm-doc-1.0.21-r0.apk | 3945 | 2024-Oct-25 20:47 |
foolsm-openrc-1.0.21-r0.apk | 1587 | 2024-Oct-25 20:47 |
formiko-1.5.0-r0.apk | 109267 | 2025-Aug-15 09:06 |
formiko-doc-1.5.0-r0.apk | 8480 | 2025-Aug-15 09:06 |
formiko-pyc-1.5.0-r0.apk | 62768 | 2025-Aug-15 09:06 |
fpc-stage0-3.2.2-r3.apk | 7158728 | 2024-Oct-25 20:47 |
fplll-5.5.0-r0.apk | 57035 | 2024-Nov-17 04:09 |
fplll-dev-5.5.0-r0.apk | 79564 | 2024-Nov-17 04:09 |
fplll-libs-5.5.0-r0.apk | 8213988 | 2024-Nov-17 04:09 |
fplll-static-5.5.0-r0.apk | 9111746 | 2024-Nov-17 04:09 |
fplll-strategies-5.5.0-r0.apk | 1791779 | 2024-Nov-17 04:09 |
fpp-0.9.5-r0.apk | 29973 | 2024-Oct-25 20:47 |
fpp-doc-0.9.5-r0.apk | 5726 | 2024-Oct-25 20:47 |
freealut-1.1.0-r1.apk | 19489 | 2024-Oct-25 20:47 |
freealut-dev-1.1.0-r1.apk | 25433 | 2024-Oct-25 20:47 |
freediameter-1.5.0-r1.apk | 9189 | 2024-Oct-25 20:47 |
freediameter-dev-1.5.0-r1.apk | 54811 | 2024-Oct-25 20:47 |
freediameter-extensions-1.5.0-r1.apk | 372047 | 2024-Oct-25 20:47 |
freediameter-libfdcore-1.5.0-r1.apk | 152779 | 2024-Oct-25 20:47 |
freediameter-libfdproto-1.5.0-r1.apk | 82489 | 2024-Oct-25 20:47 |
frescobaldi-3.3.0-r1.apk | 3645706 | 2024-Oct-25 20:47 |
frescobaldi-doc-3.3.0-r1.apk | 2273 | 2024-Oct-25 20:47 |
frescobaldi-pyc-3.3.0-r1.apk | 1253072 | 2024-Oct-25 20:47 |
freshrss-1.23.1-r1.apk | 1593983 | 2024-Oct-25 20:47 |
freshrss-doc-1.23.1-r1.apk | 769010 | 2024-Oct-25 20:47 |
freshrss-lang-1.23.1-r1.apk | 388084 | 2024-Oct-25 20:47 |
freshrss-mysql-1.23.1-r1.apk | 1223 | 2024-Oct-25 20:47 |
freshrss-openrc-1.23.1-r1.apk | 2315 | 2024-Oct-25 20:47 |
freshrss-pgsql-1.23.1-r1.apk | 1226 | 2024-Oct-25 20:47 |
freshrss-sqlite-1.23.1-r1.apk | 1227 | 2024-Oct-25 20:47 |
freshrss-themes-1.23.1-r1.apk | 1575730 | 2024-Oct-25 20:47 |
fulcrum-1.9.8-r1.apk | 908075 | 2024-Oct-25 20:47 |
fulcrum-admin-1.9.8-r1.apk | 7839 | 2024-Oct-25 20:47 |
fulcrum-doc-1.9.8-r1.apk | 21867 | 2024-Oct-25 20:47 |
fungw-1.2.1-r0.apk | 13562 | 2024-Dec-30 09:48 |
fungw-c-1.2.1-r0.apk | 8376 | 2024-Dec-30 09:48 |
fungw-cli-1.2.1-r0.apk | 24296 | 2024-Dec-30 09:48 |
fungw-dev-1.2.1-r0.apk | 7486 | 2024-Dec-30 09:48 |
fungw-doc-1.2.1-r0.apk | 12937 | 2024-Dec-30 09:48 |
fungw-duktape-1.2.1-r0.apk | 18267 | 2024-Dec-30 09:48 |
fungw-fawk-1.2.1-r0.apk | 110007 | 2024-Dec-30 09:48 |
fungw-lua-1.2.1-r0.apk | 15886 | 2024-Dec-30 09:48 |
fungw-mujs-1.2.1-r0.apk | 17916 | 2024-Dec-30 09:48 |
fungw-perl-1.2.1-r0.apk | 50141 | 2024-Dec-30 09:48 |
fungw-python3-1.2.1-r0.apk | 28044 | 2024-Dec-30 09:48 |
fungw-tcl-1.2.1-r0.apk | 14245 | 2024-Dec-30 09:48 |
fusee-nano-0.5.3-r1.apk | 21553 | 2024-Oct-25 20:47 |
fusee-nano-udev-0.5.3-r1.apk | 1480 | 2024-Oct-25 20:47 |
fusesoc-2.3-r0.apk | 47149 | 2024-Oct-25 20:47 |
fusesoc-pyc-2.3-r0.apk | 91140 | 2024-Oct-25 20:47 |
fuzzylite-6.0-r2.apk | 4423 | 2025-Jan-31 21:54 |
fuzzylite-dev-6.0-r2.apk | 69809 | 2025-Jan-31 21:54 |
fuzzylite-doc-6.0-r2.apk | 1872 | 2025-Jan-31 21:54 |
fuzzylite-libs-6.0-r2.apk | 362042 | 2025-Jan-31 21:54 |
fxfloorboard-katana-mk2-20240515-r1.apk | 5765219 | 2024-Oct-25 20:47 |
fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1138219 | 2024-Oct-25 20:47 |
gambit-4.9.5-r1.apk | 7643235 | 2025-Apr-07 07:48 |
gambit-dev-4.9.5-r1.apk | 7514718 | 2025-Apr-07 07:48 |
gambit-doc-4.9.5-r1.apk | 4172 | 2025-Apr-07 07:48 |
game-devices-udev-0.23-r0.apk | 6049 | 2024-Nov-12 10:59 |
gamemode-1.8.2-r0.apk | 79055 | 2025-Feb-05 23:41 |
gamemode-dev-1.8.2-r0.apk | 4959 | 2025-Feb-05 23:41 |
gamemode-doc-1.8.2-r0.apk | 7457 | 2025-Feb-05 23:41 |
gammastep-2.0.9-r3.apk | 92457 | 2024-Oct-25 20:47 |
gammastep-doc-2.0.9-r3.apk | 14135 | 2024-Oct-25 20:47 |
gammastep-lang-2.0.9-r3.apk | 79131 | 2024-Oct-25 20:47 |
gammastep-pyc-2.0.9-r3.apk | 17018 | 2024-Oct-25 20:47 |
gatling-0.16-r6.apk | 153001 | 2024-Oct-25 20:47 |
gatling-doc-0.16-r6.apk | 9027 | 2024-Oct-25 20:47 |
gatling-openrc-0.16-r6.apk | 2585 | 2024-Oct-25 20:47 |
gaupol-1.12-r2.apk | 282535 | 2024-Oct-25 20:47 |
gaupol-doc-1.12-r2.apk | 2160 | 2024-Oct-25 20:47 |
gaupol-lang-1.12-r2.apk | 283060 | 2024-Oct-25 20:47 |
gaupol-pyc-1.12-r2.apk | 429036 | 2024-Oct-25 20:47 |
gb-0.4.4-r33.apk | 7100464 | 2025-Aug-08 16:54 |
gcli-2.6.1-r0.apk | 129174 | 2025-Jan-26 17:30 |
gcli-doc-2.6.1-r0.apk | 28651 | 2025-Jan-26 17:30 |
gdcm-3.0.24-r2.apk | 395938 | 2025-Aug-04 12:59 |
gdcm-dev-3.0.24-r2.apk | 459649 | 2025-Aug-04 12:59 |
gdcm-doc-3.0.24-r2.apk | 56498 | 2025-Aug-04 12:59 |
gearman-dev-1.1.21-r2.apk | 1089418 | 2025-May-22 06:59 |
gearman-libs-1.1.21-r2.apk | 92512 | 2025-May-22 06:59 |
gearmand-1.1.21-r2.apk | 198311 | 2025-May-22 06:59 |
gearmand-doc-1.1.21-r2.apk | 190364 | 2025-May-22 06:59 |
gearmand-openrc-1.1.21-r2.apk | 1594 | 2025-May-22 06:59 |
gede-2.18.2-r1.apk | 278602 | 2024-Oct-25 20:47 |
genact-1.4.2-r0.apk | 1462027 | 2024-Oct-25 20:47 |
geoclue-stumbler-0.2.0-r0.apk | 27713 | 2024-Dec-31 12:56 |
geodns-3.3.0-r15.apk | 4830327 | 2025-Aug-08 16:54 |
geodns-logs-3.3.0-r15.apk | 4409439 | 2025-Aug-08 16:54 |
geodns-openrc-3.3.0-r15.apk | 1555 | 2025-Aug-08 16:54 |
geomyidae-0.34-r2.apk | 16779 | 2024-Oct-25 20:47 |
geomyidae-doc-0.34-r2.apk | 7569 | 2024-Oct-25 20:47 |
geomyidae-openrc-0.34-r2.apk | 1771 | 2024-Oct-25 20:47 |
geonames-0.3.1-r2.apk | 847207 | 2024-Oct-25 20:47 |
geonames-dev-0.3.1-r2.apk | 2825 | 2024-Oct-25 20:47 |
geonames-doc-0.3.1-r2.apk | 12635 | 2024-Oct-25 20:47 |
geonames-lang-0.3.1-r2.apk | 4800839 | 2024-Oct-25 20:47 |
getmail6-6.19.10-r0.apk | 71819 | 2025-Aug-19 11:29 |
getmail6-doc-6.19.10-r0.apk | 141562 | 2025-Aug-19 11:29 |
getmail6-pyc-6.19.10-r0.apk | 105479 | 2025-Aug-19 11:29 |
getssl-2.48-r0.apk | 83896 | 2024-Oct-25 20:47 |
getting-things-gnome-0.6-r4.apk | 731815 | 2024-Dec-08 21:45 |
getting-things-gnome-doc-0.6-r4.apk | 509068 | 2024-Dec-08 21:45 |
getting-things-gnome-lang-0.6-r4.apk | 233936 | 2024-Dec-08 21:45 |
gf2x-1.3.0-r1.apk | 42880 | 2024-Oct-25 20:47 |
gf2x-dev-1.3.0-r1.apk | 66958 | 2024-Oct-25 20:47 |
gfan-0.6.2-r1.apk | 1894908 | 2024-Oct-25 20:47 |
ghc-filesystem-1.5.14-r0.apk | 39276 | 2024-Oct-25 20:47 |
ghq-1.8.0-r3.apk | 3744865 | 2025-Aug-08 16:54 |
ghq-bash-completion-1.8.0-r3.apk | 1569 | 2025-Aug-08 16:54 |
ghq-doc-1.8.0-r3.apk | 5362 | 2025-Aug-08 16:54 |
ghq-fish-completion-1.8.0-r3.apk | 2349 | 2025-Aug-08 16:54 |
ghq-zsh-completion-1.8.0-r3.apk | 2282 | 2025-Aug-08 16:54 |
gimp-plugin-gmic-3.6.0-r0.apk | 1588333 | 2025-Aug-22 12:12 |
ginac-1.8.9-r0.apk | 1234529 | 2025-May-25 07:56 |
ginac-dev-1.8.9-r0.apk | 69532 | 2025-May-25 07:56 |
ginac-doc-1.8.9-r0.apk | 99584 | 2025-May-25 07:56 |
ginger-2.4.0-r7.apk | 262967 | 2024-Oct-25 20:47 |
ginger-lang-2.4.0-r7.apk | 128190 | 2024-Oct-25 20:47 |
ginger-pyc-2.4.0-r7.apk | 211535 | 2024-Oct-25 20:47 |
gingerbase-2.3.0-r7.apk | 199645 | 2024-Oct-25 20:47 |
gingerbase-lang-2.3.0-r7.apk | 53867 | 2024-Oct-25 20:47 |
gingerbase-pyc-2.3.0-r7.apk | 62684 | 2024-Oct-25 20:47 |
git-bug-0.8.1-r3.apk | 10008493 | 2025-Aug-08 16:54 |
git-bug-bash-completion-0.8.1-r3.apk | 5139 | 2025-Aug-08 16:54 |
git-bug-doc-0.8.1-r3.apk | 17000 | 2025-Aug-08 16:54 |
git-bug-fish-completion-0.8.1-r3.apk | 4172 | 2025-Aug-08 16:54 |
git-bug-zsh-completion-0.8.1-r3.apk | 3884 | 2025-Aug-08 16:54 |
git-extras-7.4.0-r0.apk | 58185 | 2025-Jul-22 20:36 |
git-extras-bash-completion-7.4.0-r0.apk | 2681 | 2025-Jul-22 20:36 |
git-extras-doc-7.4.0-r0.apk | 66487 | 2025-Jul-22 20:36 |
git-graph-0.6.0-r0.apk | 959401 | 2024-Nov-25 23:42 |
git-graph-doc-0.6.0-r0.apk | 6128 | 2024-Nov-25 23:42 |
git-quick-stats-2.5.8-r0.apk | 12413 | 2024-Oct-25 20:47 |
git-quick-stats-doc-2.5.8-r0.apk | 2681 | 2024-Oct-25 20:47 |
git-revise-0.7.0-r5.apk | 24620 | 2024-Oct-25 20:47 |
git-revise-doc-0.7.0-r5.apk | 4814 | 2024-Oct-25 20:47 |
git-revise-pyc-0.7.0-r5.apk | 42938 | 2024-Oct-25 20:47 |
git-secret-0.5.0-r0.apk | 14840 | 2024-Oct-25 20:47 |
git-secret-doc-0.5.0-r0.apk | 17207 | 2024-Oct-25 20:47 |
git2json-0.2.3-r8.apk | 7335 | 2024-Oct-25 20:47 |
git2json-pyc-0.2.3-r8.apk | 5562 | 2024-Oct-25 20:47 |
gkrellm-2.3.11-r0.apk | 371198 | 2025-Jan-08 22:37 |
gkrellm-dev-2.3.11-r0.apk | 16637 | 2025-Jan-08 22:37 |
gkrellm-doc-2.3.11-r0.apk | 18710 | 2025-Jan-08 22:37 |
gkrellm-lang-2.3.11-r0.apk | 387955 | 2025-Jan-08 22:37 |
gkrellm-server-2.3.11-r0.apk | 55028 | 2025-Jan-08 22:37 |
glfw-wayland-3.3.8-r3.apk | 66056 | 2024-Oct-25 20:47 |
glfw-wayland-dbg-3.3.8-r3.apk | 186561 | 2024-Oct-25 20:47 |
glfw-wayland-dev-3.3.8-r3.apk | 46444 | 2024-Oct-25 20:47 |
gliderlabs-sigil-0.11.0-r7.apk | 3247825 | 2025-Aug-08 16:54 |
gliderlabs-sigil-doc-0.11.0-r7.apk | 2210 | 2025-Aug-08 16:54 |
glmark2-2023.01-r1.apk | 8378108 | 2024-Oct-25 20:47 |
glmark2-doc-2023.01-r1.apk | 12849 | 2024-Oct-25 20:47 |
gloox-1.0.28-r0.apk | 387996 | 2024-Oct-25 20:47 |
gloox-dev-1.0.28-r0.apk | 972914 | 2024-Oct-25 20:47 |
glow-2.1.1-r2.apk | 5946114 | 2025-Aug-08 16:54 |
glow-bash-completion-2.1.1-r2.apk | 5983 | 2025-Aug-08 16:54 |
glow-doc-2.1.1-r2.apk | 3009 | 2025-Aug-08 16:54 |
glow-fish-completion-2.1.1-r2.apk | 4162 | 2025-Aug-08 16:54 |
glow-zsh-completion-2.1.1-r2.apk | 3876 | 2025-Aug-08 16:54 |
glslviewer-3.2.4-r2.apk | 2016576 | 2025-Aug-28 17:50 |
gmcapsule-0.9.7-r0.apk | 36635 | 2025-Jan-08 19:13 |
gmcapsule-openrc-0.9.7-r0.apk | 1707 | 2025-Jan-08 19:13 |
gmcapsule-pyc-0.9.7-r0.apk | 61821 | 2025-Jan-08 19:13 |
gmenuharness-0.1.4-r2.apk | 40632 | 2025-Feb-18 22:21 |
gmenuharness-dev-0.1.4-r2.apk | 3899 | 2025-Feb-18 22:21 |
gmic-3.6.0-r0.apk | 12923547 | 2025-Aug-22 12:12 |
gmic-bash-completion-3.6.0-r0.apk | 29109 | 2025-Aug-22 12:12 |
gmic-dev-3.6.0-r0.apk | 7538 | 2025-Aug-22 12:12 |
gmic-doc-3.6.0-r0.apk | 227958 | 2025-Aug-22 12:12 |
gmic-libs-3.6.0-r0.apk | 3301605 | 2025-Aug-22 12:12 |
gmic-qt-3.6.0-r0.apk | 1919941 | 2025-Aug-22 12:12 |
gmid-2.1.1-r0.apk | 242252 | 2024-Nov-27 19:26 |
gmid-doc-2.1.1-r0.apk | 14527 | 2024-Nov-27 19:26 |
gmid-openrc-2.1.1-r0.apk | 1981 | 2024-Nov-27 19:26 |
gmsh-4.12.2-r2.apk | 10160509 | 2024-Oct-25 20:47 |
gmsh-dbg-4.12.2-r2.apk | 153457441 | 2024-Oct-25 20:47 |
gmsh-doc-4.12.2-r2.apk | 2038657 | 2024-Oct-25 20:47 |
gmsh-py-4.12.2-r2.apk | 6640 | 2024-Oct-25 20:47 |
gnome-common-3.18.0-r3.apk | 11543 | 2024-Oct-25 20:47 |
gnome-metronome-1.3.0-r0.apk | 483474 | 2024-Oct-25 20:47 |
gnome-metronome-lang-1.3.0-r0.apk | 25010 | 2024-Oct-25 20:47 |
gnome-mimeapps-0.1-r1.apk | 3515 | 2025-Aug-09 12:15 |
gnome-user-share-48.1-r1.apk | 491352 | 2025-Aug-09 12:15 |
gnome-user-share-lang-48.1-r1.apk | 69283 | 2025-Aug-09 12:15 |
gnucobol-3.2-r0.apk | 836811 | 2025-Jul-28 14:02 |
gnucobol-doc-3.2-r0.apk | 72237 | 2025-Jul-28 14:02 |
gnucobol-lang-3.2-r0.apk | 323343 | 2025-Jul-28 14:02 |
go-away-0.7.0-r0.apk | 7981781 | 2025-Jun-10 17:48 |
go-away-openrc-0.7.0-r0.apk | 2053 | 2025-Jun-10 17:48 |
go-jsonnet-0.21.0-r2.apk | 6637486 | 2025-Aug-08 16:54 |
go-mtpfs-1.0.0-r29.apk | 1185128 | 2025-Aug-08 16:54 |
go-passbolt-cli-0.3.2-r5.apk | 6131565 | 2025-Aug-08 16:54 |
gobuster-3.8.0-r0.apk | 3641354 | 2025-Aug-13 05:20 |
godini-1.0.0-r2.apk | 1496082 | 2025-Aug-08 16:54 |
godini-doc-1.0.0-r2.apk | 14862 | 2025-Aug-08 16:54 |
gomp-1.0.0-r14.apk | 3604787 | 2025-Aug-08 16:54 |
goomwwm-1.0.0-r5.apk | 49024 | 2024-Oct-25 20:48 |
goreman-0.3.15-r15.apk | 2475373 | 2025-Aug-08 16:54 |
goshs-1.1.0-r2.apk | 6331963 | 2025-Aug-08 16:54 |
goshs-doc-1.1.0-r2.apk | 2050 | 2025-Aug-08 16:54 |
gossip-0.14.0-r0.apk | 30089627 | 2025-Mar-19 13:44 |
gossip-doc-0.14.0-r0.apk | 22089 | 2025-Mar-19 13:44 |
gotify-2.5.0-r8.apk | 10118235 | 2025-Aug-08 16:54 |
gotify-cli-2.3.2-r7.apk | 4271577 | 2025-Aug-08 16:54 |
gotify-openrc-2.5.0-r8.apk | 1781 | 2025-Aug-08 16:54 |
goxel-0.15.1-r0.apk | 1983235 | 2024-Oct-25 20:48 |
gprbuild-22.0.0-r3.apk | 13407993 | 2024-Oct-25 20:48 |
gpscorrelate-2.3-r0.apk | 53644 | 2025-Mar-27 06:36 |
gpscorrelate-cli-2.3-r0.apk | 28189 | 2025-Mar-27 06:36 |
gpscorrelate-doc-2.3-r0.apk | 291534 | 2025-Mar-27 06:36 |
gpscorrelate-lang-2.3-r0.apk | 17543 | 2025-Mar-27 06:36 |
gr-satellites-5.5.0-r5.apk | 531746 | 2025-Jun-08 16:43 |
gr-satellites-dev-5.5.0-r5.apk | 12756 | 2025-Jun-08 16:43 |
gr-satellites-doc-5.5.0-r5.apk | 4360 | 2025-Jun-08 16:43 |
granite7-7.5.0-r0.apk | 126329 | 2024-Oct-25 20:48 |
granite7-dev-7.5.0-r0.apk | 44528 | 2024-Oct-25 20:48 |
granite7-lang-7.5.0-r0.apk | 52955 | 2024-Oct-25 20:48 |
grcov-0.8.20-r0.apk | 2048159 | 2024-Nov-11 10:10 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 20052 | 2024-Oct-25 20:48 |
greetd-mini-wl-greeter-bash-completion-0_git2023..> | 1981 | 2024-Oct-25 20:48 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3089 | 2024-Oct-25 20:48 |
grip-4.2.4-r0.apk | 392421 | 2024-Oct-25 20:48 |
grip-doc-4.2.4-r0.apk | 6059 | 2024-Oct-25 20:48 |
grip-lang-4.2.4-r0.apk | 147622 | 2024-Oct-25 20:48 |
gron-0.7.1-r27.apk | 2545668 | 2025-Aug-08 16:54 |
gron-doc-0.7.1-r27.apk | 6209 | 2025-Aug-08 16:54 |
grpcui-1.5.1-r1.apk | 8694426 | 2025-Aug-08 16:54 |
grpcurl-1.9.3-r4.apk | 8261920 | 2025-Aug-08 16:54 |
gsettings-qt-1.0.0-r0.apk | 30587 | 2025-Mar-14 17:36 |
gsettings-qt-dev-1.0.0-r0.apk | 3288 | 2025-Mar-14 17:36 |
gsimplecal-2.5.1-r0.apk | 17264 | 2024-Oct-25 20:48 |
gsimplecal-doc-2.5.1-r0.apk | 5677 | 2024-Oct-25 20:48 |
gssdp-1.6.4-r1.apk | 49225 | 2025-Aug-09 12:15 |
gssdp-dev-1.6.4-r1.apk | 15631 | 2025-Aug-09 12:15 |
gstreamermm-1.10.0-r6.apk | 524279 | 2025-Feb-18 22:21 |
gstreamermm-dev-1.10.0-r6.apk | 316905 | 2025-Feb-18 22:21 |
gtimelog-0.12_git20250120-r1.apk | 224293 | 2025-Aug-09 12:15 |
gtimelog-pyc-0.12_git20250120-r1.apk | 104538 | 2025-Aug-09 12:15 |
gtk-session-lock-0.2.0-r0.apk | 37125 | 2025-Jan-31 16:17 |
gtk-session-lock-dev-0.2.0-r0.apk | 5142 | 2025-Jan-31 16:17 |
gtkhash-1.5-r0.apk | 89413 | 2025-Mar-10 19:32 |
gtkhash-lang-1.5-r0.apk | 46497 | 2025-Mar-10 19:32 |
gtklock-4.0.0-r0.apk | 20406 | 2025-Jan-31 16:17 |
gtklock-doc-4.0.0-r0.apk | 2778 | 2025-Jan-31 16:17 |
gtkwave-3.3.120-r0.apk | 2743796 | 2024-Oct-25 20:48 |
gtkwave-doc-3.3.120-r0.apk | 27505 | 2024-Oct-25 20:48 |
guake-3.10-r1.apk | 312386 | 2024-Oct-25 20:48 |
guake-lang-3.10-r1.apk | 192039 | 2024-Oct-25 20:48 |
guake-pyc-3.10-r1.apk | 190117 | 2024-Oct-25 20:48 |
guestfs-tools-1.56.1-r0.apk | 294395 | 2025-Jul-22 22:10 |
guetzli-0_git20191025-r2.apk | 187568 | 2025-May-25 07:56 |
guetzli-dev-0_git20191025-r2.apk | 2484582 | 2025-May-25 07:56 |
gufw-24.04-r3.apk | 610527 | 2024-Nov-19 22:14 |
gufw-doc-24.04-r3.apk | 4326 | 2024-Nov-19 22:14 |
gufw-lang-24.04-r3.apk | 874834 | 2024-Nov-19 22:14 |
gufw-pyc-24.04-r3.apk | 65860 | 2024-Nov-19 22:14 |
gupnp-1.6.9-r1.apk | 93297 | 2025-Aug-09 12:15 |
gupnp-av-0.14.4-r1.apk | 83649 | 2025-Aug-09 12:15 |
gupnp-av-dev-0.14.4-r1.apk | 42314 | 2025-Aug-09 12:15 |
gupnp-dev-1.6.9-r1.apk | 51339 | 2025-Aug-09 12:15 |
gupnp-dlna-0.12.0-r1.apk | 74087 | 2025-Aug-09 12:15 |
gupnp-dlna-dev-0.12.0-r1.apk | 24660 | 2025-Aug-09 12:15 |
gupnp-doc-1.6.9-r1.apk | 3631 | 2025-Aug-09 12:15 |
gx-0.14.3-r32.apk | 4713405 | 2025-Aug-08 16:54 |
gx-doc-0.14.3-r32.apk | 2063 | 2025-Aug-08 16:54 |
gx-go-1.9.0-r34.apk | 4967934 | 2025-Aug-08 16:54 |
gx-go-doc-1.9.0-r34.apk | 2055 | 2025-Aug-08 16:54 |
gxlimg-0_git20240711-r0.apk | 20249 | 2025-Jan-21 19:50 |
gyosu-0.2.0-r0.apk | 1853972 | 2025-Aug-09 12:15 |
h4h5tools-2.2.5-r4.apk | 104741 | 2024-Oct-25 20:48 |
h4h5tools-dev-2.2.5-r4.apk | 8700 | 2024-Oct-25 20:48 |
h4h5tools-doc-2.2.5-r4.apk | 2526 | 2024-Oct-25 20:48 |
h4h5tools-static-2.2.5-r4.apk | 116804 | 2024-Oct-25 20:48 |
habitctl-0.1.0-r2.apk | 355069 | 2024-Oct-25 20:48 |
halp-0.2.0-r0.apk | 979949 | 2024-Oct-25 20:48 |
halp-bash-completion-0.2.0-r0.apk | 1966 | 2024-Oct-25 20:48 |
halp-doc-0.2.0-r0.apk | 6781 | 2024-Oct-25 20:48 |
halp-fish-completion-0.2.0-r0.apk | 1742 | 2024-Oct-25 20:48 |
halp-zsh-completion-0.2.0-r0.apk | 2194 | 2024-Oct-25 20:48 |
hamster-time-tracker-3.0.3-r2.apk | 159304 | 2024-Oct-25 20:48 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 1752 | 2024-Oct-25 20:48 |
hamster-time-tracker-doc-3.0.3-r2.apk | 118721 | 2024-Oct-25 20:48 |
hamster-time-tracker-lang-3.0.3-r2.apk | 210369 | 2024-Oct-25 20:48 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 366461 | 2024-Oct-25 20:48 |
handlebars-1.0.0-r1.apk | 110435 | 2024-Oct-25 20:48 |
handlebars-dev-1.0.0-r1.apk | 32898 | 2024-Oct-25 20:48 |
handlebars-utils-1.0.0-r1.apk | 9891 | 2024-Oct-25 20:48 |
hardinfo2-2.2.10-r0.apk | 3548739 | 2025-May-18 22:19 |
hardinfo2-doc-2.2.10-r0.apk | 2468 | 2025-May-18 22:19 |
hardinfo2-lang-2.2.10-r0.apk | 279435 | 2025-May-18 22:19 |
hardinfo2-openrc-2.2.10-r0.apk | 1730 | 2025-May-18 22:19 |
harminv-1.4.2-r1.apk | 8287 | 2024-Oct-25 20:48 |
harminv-dev-1.4.2-r1.apk | 2950 | 2024-Oct-25 20:48 |
harminv-doc-1.4.2-r1.apk | 5531 | 2024-Oct-25 20:48 |
harminv-libs-1.4.2-r1.apk | 12931 | 2024-Oct-25 20:48 |
hashcat-6.2.6-r0.apk | 72074701 | 2024-Oct-25 20:48 |
hashcat-doc-6.2.6-r0.apk | 2253586 | 2024-Oct-25 20:48 |
hatch-1.14.1-r0.apk | 104311 | 2025-Apr-10 13:25 |
hatch-pyc-1.14.1-r0.apk | 220738 | 2025-Apr-10 13:25 |
hatop-0.8.2-r0.apk | 18388 | 2024-Oct-25 20:48 |
hatop-doc-0.8.2-r0.apk | 3065 | 2024-Oct-25 20:48 |
haxe-4.3.3-r1.apk | 10492780 | 2025-Mar-22 14:44 |
haxe-doc-4.3.3-r1.apk | 7667 | 2025-Mar-22 14:44 |
hctl-0.2.7-r0.apk | 1430203 | 2025-May-14 17:28 |
hddfancontrol-1.6.2-r0.apk | 33358 | 2024-Oct-25 20:48 |
hddfancontrol-openrc-1.6.2-r0.apk | 1974 | 2024-Oct-25 20:48 |
hddfancontrol-pyc-1.6.2-r0.apk | 34580 | 2024-Oct-25 20:48 |
hdf4-4.2.15-r2.apk | 259976 | 2024-Oct-25 20:48 |
hdf4-dev-4.2.15-r2.apk | 103016 | 2024-Oct-25 20:48 |
hdf4-doc-4.2.15-r2.apk | 5886 | 2024-Oct-25 20:48 |
hdf4-tools-4.2.15-r2.apk | 224249 | 2024-Oct-25 20:48 |
heh-0.6.1-r0.apk | 531054 | 2024-Oct-25 20:48 |
heh-doc-0.6.1-r0.apk | 3899 | 2024-Oct-25 20:48 |
heisenbridge-1.15.3-r0.apk | 68572 | 2025-Apr-20 05:14 |
heisenbridge-pyc-1.15.3-r0.apk | 158415 | 2025-Apr-20 05:14 |
helm-diff-3.11.0-r3.apk | 21337555 | 2025-Aug-08 16:54 |
helm-ls-0.5.0-r1.apk | 18177186 | 2025-Aug-08 16:54 |
helm-ls-doc-0.5.0-r1.apk | 2039 | 2025-Aug-08 16:54 |
helm-mapkubeapis-0.5.2-r8.apk | 19706259 | 2025-Aug-08 16:54 |
helm-unittest-0.8.2-r2.apk | 11418713 | 2025-Aug-08 16:54 |
helmfile-1.1.3-r1.apk | 46709139 | 2025-Aug-08 16:54 |
helmfile-bash-completion-1.1.3-r1.apk | 5990 | 2025-Aug-08 16:54 |
helmfile-doc-1.1.3-r1.apk | 2039 | 2025-Aug-08 16:54 |
helmfile-fish-completion-1.1.3-r1.apk | 4168 | 2025-Aug-08 16:54 |
helmfile-zsh-completion-1.1.3-r1.apk | 3878 | 2025-Aug-08 16:54 |
helvum-0.5.1-r0.apk | 349062 | 2024-Oct-25 20:48 |
herbe-1.0.0-r0.apk | 6143 | 2024-Oct-25 20:48 |
hex-0.6.0-r0.apk | 331667 | 2024-Oct-25 20:48 |
hexedit-1.6_git20230905-r0.apk | 17859 | 2024-Oct-25 20:48 |
hexedit-doc-1.6_git20230905-r0.apk | 5458 | 2024-Oct-25 20:48 |
hfst-3.16.2-r0.apk | 1555175 | 2025-Mar-28 16:14 |
hfst-dev-3.16.2-r0.apk | 213735 | 2025-Mar-28 16:14 |
hfst-doc-3.16.2-r0.apk | 71069 | 2025-Mar-28 16:14 |
hfst-libs-3.16.2-r0.apk | 2086413 | 2025-Mar-28 16:14 |
hiawatha-11.6-r1.apk | 232076 | 2025-May-27 11:11 |
hiawatha-doc-11.6-r1.apk | 21259 | 2025-May-27 11:11 |
hiawatha-letsencrypt-11.6-r1.apk | 17387 | 2025-May-27 11:11 |
hiawatha-openrc-11.6-r1.apk | 1461 | 2025-May-27 11:11 |
hidrd-0.2.0_git20190603-r1.apk | 81969 | 2024-Oct-25 20:48 |
hidrd-dev-0.2.0_git20190603-r1.apk | 134904 | 2024-Oct-25 20:48 |
highctidh-1.0.2024092800-r0.apk | 402983 | 2024-Nov-25 20:41 |
highctidh-dev-1.0.2024092800-r0.apk | 431152 | 2024-Nov-25 20:41 |
highfive-2.10.1-r0.apk | 76819 | 2025-Jan-15 02:51 |
hikari-2.3.3-r6.apk | 969668 | 2024-Oct-25 20:48 |
hikari-doc-2.3.3-r6.apk | 13640 | 2024-Oct-25 20:48 |
hikari-unlocker-2.3.3-r6.apk | 4146 | 2024-Oct-25 20:48 |
hilbish-2.3.4-r7.apk | 3497783 | 2025-Aug-08 16:54 |
hilbish-doc-2.3.4-r7.apk | 25270 | 2025-Aug-08 16:54 |
himitsu-secret-service-0.1_git20250705-r0.apk | 15876 | 2025-Aug-25 10:58 |
himitsu-secret-service-doc-0.1_git20250705-r0.apk | 3800 | 2025-Aug-25 10:58 |
himitsu-secret-service-pyc-0.1_git20250705-r0.apk | 29031 | 2025-Aug-25 10:58 |
hiprompt-gtk-py-0.8.0-r0.apk | 8186 | 2024-Oct-25 20:48 |
hitide-0.15.0-r0.apk | 2092082 | 2024-Oct-25 20:48 |
hitide-openrc-0.15.0-r0.apk | 1923 | 2024-Oct-25 20:48 |
homebank-5.9.1-r0.apk | 2143231 | 2025-May-08 12:40 |
homebank-lang-5.9.1-r0.apk | 964756 | 2025-May-08 12:40 |
hopalong-0.1-r3.apk | 23922 | 2024-Oct-25 20:48 |
horizon-0.9.6-r9.apk | 216285 | 2024-Oct-25 20:48 |
horizon-dbg-0.9.6-r9.apk | 4194509 | 2024-Oct-25 20:48 |
horizon-dev-0.9.6-r9.apk | 4731 | 2024-Oct-25 20:48 |
horizon-doc-0.9.6-r9.apk | 21700 | 2024-Oct-25 20:48 |
horizon-image-0.9.6-r9.apk | 70758 | 2024-Oct-25 20:48 |
horizon-tools-0.9.6-r9.apk | 86076 | 2024-Oct-25 20:48 |
horust-0.1.9-r0.apk | 1264665 | 2025-Aug-05 11:36 |
horust-doc-0.1.9-r0.apk | 10114 | 2025-Aug-05 11:36 |
hping3-20051105-r4.apk | 70535 | 2024-Oct-25 20:48 |
hping3-doc-20051105-r4.apk | 17270 | 2024-Oct-25 20:48 |
hsetroot-1.0.5-r1.apk | 12064 | 2024-Oct-25 20:48 |
hstdb-2.1.0-r2.apk | 945728 | 2024-Oct-25 20:48 |
htmlcxx-0.87-r1.apk | 67229 | 2024-Oct-25 20:48 |
htmlcxx-dev-0.87-r1.apk | 21115 | 2024-Oct-25 20:48 |
htslib-1.19-r0.apk | 412028 | 2024-Oct-25 20:48 |
htslib-dev-1.19-r0.apk | 117821 | 2024-Oct-25 20:48 |
htslib-doc-1.19-r0.apk | 22933 | 2024-Oct-25 20:48 |
htslib-static-1.19-r0.apk | 539718 | 2024-Oct-25 20:48 |
htslib-tools-1.19-r0.apk | 1350906 | 2024-Oct-25 20:48 |
httpie-oauth-1.0.2-r9.apk | 3178 | 2024-Oct-25 20:48 |
httpie-oauth-pyc-1.0.2-r9.apk | 2099 | 2024-Oct-25 20:48 |
httplz-2.2.0-r0.apk | 1405992 | 2025-May-18 15:39 |
httplz-doc-2.2.0-r0.apk | 2042 | 2025-May-18 15:39 |
httpx-1.7.1-r0.apk | 14323978 | 2025-Aug-10 20:30 |
httpx-doc-1.7.1-r0.apk | 2063 | 2025-Aug-10 20:30 |
httrack-3.49.2-r5.apk | 778240 | 2024-Oct-25 20:48 |
httrack-doc-3.49.2-r5.apk | 540359 | 2024-Oct-25 20:48 |
hub-2.14.2-r33.apk | 2843252 | 2025-Aug-08 16:54 |
hub-bash-completion-2.14.2-r33.apk | 4436 | 2025-Aug-08 16:54 |
hub-doc-2.14.2-r33.apk | 42743 | 2025-Aug-08 16:54 |
hub-fish-completion-2.14.2-r33.apk | 3120 | 2025-Aug-08 16:54 |
hub-zsh-completion-2.14.2-r33.apk | 3536 | 2025-Aug-08 16:54 |
hubble-cli-0.13.6-r7.apk | 17531842 | 2025-Aug-08 16:54 |
hubble-cli-bash-completion-0.13.6-r7.apk | 4931 | 2025-Aug-08 16:54 |
hubble-cli-fish-completion-0.13.6-r7.apk | 4167 | 2025-Aug-08 16:54 |
hubble-cli-zsh-completion-0.13.6-r7.apk | 3884 | 2025-Aug-08 16:54 |
hunspell-ca-es-3.0.7-r0.apk | 748535 | 2024-Oct-25 20:48 |
hunspell-fr-7.0-r0.apk | 1255868 | 2025-Jun-13 14:36 |
hunspell-fr-doc-7.0-r0.apk | 2652 | 2025-Jun-13 14:36 |
hurl-6.1.1-r0.apk | 1976431 | 2025-May-04 02:50 |
hurl-bash-completion-6.1.1-r0.apk | 2076 | 2025-May-04 02:50 |
hurl-doc-6.1.1-r0.apk | 8726 | 2025-May-04 02:50 |
hurl-fish-completion-6.1.1-r0.apk | 3329 | 2025-May-04 02:50 |
hurl-zsh-completion-6.1.1-r0.apk | 3827 | 2025-May-04 02:50 |
hurlfmt-6.1.1-r0.apk | 936863 | 2025-May-04 02:50 |
hw-probe-1.6.6-r2.apk | 126521 | 2025-Jun-30 09:24 |
hwatch-0.3.11-r0.apk | 1069282 | 2024-Oct-25 20:48 |
hwatch-doc-0.3.11-r0.apk | 2849 | 2024-Oct-25 20:48 |
hwatch-fish-completion-0.3.11-r0.apk | 1595 | 2024-Oct-25 20:48 |
hwatch-zsh-completion-0.3.11-r0.apk | 1706 | 2024-Oct-25 20:48 |
hx-1.0.15-r0.apk | 15754 | 2024-Oct-25 20:48 |
hx-doc-1.0.15-r0.apk | 4634 | 2024-Oct-25 20:48 |
hyfetch-2.0.1-r0.apk | 944886 | 2025-Aug-27 17:13 |
hyfetch-bash-completion-2.0.1-r0.apk | 3151 | 2025-Aug-27 17:13 |
hyfetch-doc-2.0.1-r0.apk | 18410 | 2025-Aug-27 17:13 |
hyfetch-zsh-completion-2.0.1-r0.apk | 2366 | 2025-Aug-27 17:13 |
hypnotix-3.5-r0.apk | 112429 | 2024-Oct-25 20:48 |
hypnotix-lang-3.5-r0.apk | 73882 | 2024-Oct-25 20:48 |
hyx-2024.02.29-r0.apk | 18544 | 2024-Oct-25 20:48 |
hyx-doc-2024.02.29-r0.apk | 2028 | 2024-Oct-25 20:48 |
i2util-4.2.1-r1.apk | 23947 | 2024-Oct-25 20:48 |
i2util-dev-4.2.1-r1.apk | 49432 | 2024-Oct-25 20:48 |
i2util-doc-4.2.1-r1.apk | 4561 | 2024-Oct-25 20:48 |
i3bar-river-1.1.0-r0.apk | 589189 | 2025-Mar-14 17:36 |
i3status-rust-0.34.0-r0.apk | 4660466 | 2025-Jul-19 05:43 |
i3status-rust-doc-0.34.0-r0.apk | 33660 | 2025-Jul-19 05:43 |
ibus-typing-booster-2.27.72-r1.apk | 12787355 | 2025-Aug-09 12:15 |
ibus-typing-booster-lang-2.27.72-r1.apk | 300917 | 2025-Aug-09 12:15 |
ibus-typing-booster-pyc-2.27.72-r1.apk | 1283150 | 2025-Aug-09 12:15 |
icesprog-0_git20240108-r1.apk | 9527 | 2024-Oct-25 20:48 |
icesprog-udev-0_git20240108-r1.apk | 1674 | 2024-Oct-25 20:48 |
icestorm-0_git20240517-r0.apk | 17361311 | 2024-Oct-25 20:48 |
icingaweb2-module-businessprocess-2.5.2-r0.apk | 112395 | 2025-Aug-13 15:55 |
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2141836 | 2025-Aug-13 15:55 |
icingaweb2-module-fileshipper-1.2.0-r3.apk | 10808 | 2024-Oct-25 20:48 |
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 236120 | 2024-Oct-25 20:48 |
icingaweb2-module-generictts-2.1.0-r0.apk | 6492 | 2024-Oct-25 20:48 |
icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1598 | 2024-Oct-25 20:48 |
icingaweb2-module-pnp-1.1.0-r1.apk | 9233 | 2024-Oct-25 20:48 |
icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1594 | 2024-Oct-25 20:48 |
identities-0.1.4-r0.apk | 13468 | 2025-May-05 21:16 |
identme-0.6.0-r0.apk | 48929 | 2025-Apr-03 12:34 |
idesk-1-r1.apk | 73829 | 2024-Oct-25 20:48 |
ideviceinstaller-1.1.1-r4.apk | 14751 | 2024-Oct-30 22:46 |
ideviceinstaller-doc-1.1.1-r4.apk | 2245 | 2024-Oct-30 22:46 |
idevicerestore-1.0.0-r4.apk | 84365 | 2024-Oct-30 22:46 |
idevicerestore-doc-1.0.0-r4.apk | 2334 | 2024-Oct-30 22:46 |
ifuse-1.1.4-r5.apk | 10410 | 2024-Oct-30 22:46 |
ifuse-doc-1.1.4-r5.apk | 2132 | 2024-Oct-30 22:46 |
igrep-1.2.0-r0.apk | 1732386 | 2024-Oct-25 20:48 |
igrep-doc-1.2.0-r0.apk | 3991 | 2024-Oct-25 20:48 |
ijq-1.1.0-r10.apk | 1430478 | 2025-Aug-08 16:54 |
ijq-doc-1.1.0-r10.apk | 3336 | 2025-Aug-08 16:54 |
imapfilter-2.8.2-r0.apk | 43661 | 2024-Oct-25 20:48 |
imapfilter-doc-2.8.2-r0.apk | 12872 | 2024-Oct-25 20:48 |
imediff-2.6-r1.apk | 42317 | 2024-Oct-25 20:48 |
imediff-doc-2.6-r1.apk | 6422 | 2024-Oct-25 20:48 |
imediff-pyc-2.6-r1.apk | 44443 | 2024-Oct-25 20:48 |
imgdiff-1.0.2-r28.apk | 1012192 | 2025-Aug-08 16:54 |
imgdiff-doc-1.0.2-r28.apk | 2046 | 2025-Aug-08 16:54 |
imrsh-0_git20210320-r1.apk | 9232 | 2024-Oct-25 20:48 |
imrsh-dbg-0_git20210320-r1.apk | 19316 | 2024-Oct-25 20:48 |
infnoise-0.3.3-r0.apk | 15506 | 2025-May-26 17:46 |
infnoise-doc-0.3.3-r0.apk | 3979 | 2025-May-26 17:46 |
infnoise-openrc-0.3.3-r0.apk | 1505 | 2025-May-26 17:46 |
initify-0_git20171210-r1.apk | 3094 | 2024-Oct-25 20:48 |
innernet-1.6.1-r0.apk | 3014218 | 2024-Oct-25 20:48 |
innernet-bash-completion-1.6.1-r0.apk | 3647 | 2024-Oct-25 20:48 |
innernet-doc-1.6.1-r0.apk | 8982 | 2024-Oct-25 20:48 |
innernet-fish-completion-1.6.1-r0.apk | 4375 | 2024-Oct-25 20:48 |
innernet-openrc-1.6.1-r0.apk | 2089 | 2024-Oct-25 20:48 |
innernet-zsh-completion-1.6.1-r0.apk | 5315 | 2024-Oct-25 20:48 |
interception-tools-0.6.8-r2.apk | 118630 | 2024-Oct-25 20:48 |
interception-tools-openrc-0.6.8-r2.apk | 1461 | 2024-Oct-25 20:48 |
invidtui-0.4.6-r7.apk | 4067236 | 2025-Aug-08 16:54 |
ip2location-8.6.1-r0.apk | 27178 | 2024-Oct-25 20:48 |
ip2location-dev-8.6.1-r0.apk | 13325 | 2024-Oct-25 20:48 |
ip2location-doc-8.6.1-r0.apk | 2509 | 2024-Oct-25 20:48 |
ipp-usb-0.9.30-r2.apk | 2491314 | 2025-Aug-08 16:54 |
ipp-usb-doc-0.9.30-r2.apk | 8765 | 2025-Aug-08 16:54 |
ipp-usb-openrc-0.9.30-r2.apk | 1502 | 2025-Aug-08 16:54 |
iprange-1.0.4-r1.apk | 20752 | 2024-Oct-25 20:48 |
iprange-doc-1.0.4-r1.apk | 4375 | 2024-Oct-25 20:48 |
irccd-4.0.3-r0.apk | 286545 | 2024-Oct-25 20:48 |
irccd-dev-4.0.3-r0.apk | 9587 | 2024-Oct-25 20:48 |
irccd-doc-4.0.3-r0.apk | 82034 | 2024-Oct-25 20:48 |
irccd-openrc-4.0.3-r0.apk | 1600 | 2024-Oct-25 20:48 |
ircd-hybrid-8.2.47-r0.apk | 528215 | 2025-Apr-05 09:56 |
ircd-hybrid-doc-8.2.47-r0.apk | 3433 | 2025-Apr-05 09:56 |
ircdog-0.5.4-r7.apk | 2426321 | 2025-Aug-08 16:54 |
isoinfo-0_git20131217-r1.apk | 6831 | 2024-Oct-25 20:48 |
isomd5sum-1.2.5-r0.apk | 33206 | 2025-Jul-15 08:02 |
isomd5sum-doc-1.2.5-r0.apk | 2780 | 2025-Jul-15 08:02 |
it87-src-1_p20240609-r0.apk | 30141 | 2024-Oct-25 20:48 |
jack_capture-0.9.73_git20210429-r2.apk | 37298 | 2024-Oct-25 20:48 |
jackal-0.64.0-r17.apk | 11932797 | 2025-Aug-08 16:54 |
jackal-openrc-0.64.0-r17.apk | 1614 | 2025-Aug-08 16:54 |
jackdaw-0.3.1-r2.apk | 2072586 | 2025-May-29 12:09 |
jackdaw-pyc-0.3.1-r2.apk | 372633 | 2025-May-29 12:09 |
jackline-0.1.0-r3.apk | 4312730 | 2024-Oct-25 20:48 |
jalv-1.6.8-r1.apk | 53580 | 2024-Oct-25 20:48 |
jalv-doc-1.6.8-r1.apk | 2986 | 2024-Oct-25 20:48 |
jalv-gtk-1.6.8-r1.apk | 35191 | 2024-Oct-25 20:48 |
jaq-2.3.0-r0.apk | 849772 | 2025-Jul-20 10:41 |
jaq-doc-2.3.0-r0.apk | 2025 | 2025-Jul-20 10:41 |
java-asmtools-8.0.09-r0.apk | 587307 | 2024-Oct-25 20:48 |
java-asmtools-doc-8.0.09-r0.apk | 6778 | 2024-Oct-25 20:48 |
java-gdcm-3.0.24-r2.apk | 649145 | 2025-Aug-04 12:59 |
java-jtharness-6.0_p12-r0.apk | 4232099 | 2024-Oct-25 20:48 |
java-jtharness-doc-6.0_p12-r0.apk | 11842 | 2024-Oct-25 20:48 |
java-jtharness-examples-6.0_p12-r0.apk | 224131 | 2024-Oct-25 20:48 |
jbigkit-2.1-r2.apk | 67730 | 2024-Oct-25 20:48 |
jbigkit-dev-2.1-r2.apk | 31597 | 2024-Oct-25 20:48 |
jbigkit-doc-2.1-r2.apk | 7248 | 2024-Oct-25 20:48 |
jdebp-redo-1.4-r1.apk | 101180 | 2024-Oct-25 20:48 |
jdebp-redo-doc-1.4-r1.apk | 12411 | 2024-Oct-25 20:48 |
jdupes-1.28.0-r0.apk | 28822 | 2024-Oct-25 20:48 |
jdupes-doc-1.28.0-r0.apk | 8939 | 2024-Oct-25 20:48 |
jedi-language-server-0.45.1-r0.apk | 32247 | 2025-Apr-28 22:59 |
jedi-language-server-pyc-0.45.1-r0.apk | 50673 | 2025-Apr-28 22:59 |
jfrog-cli-2.45.0-r14.apk | 9261281 | 2025-Aug-08 16:54 |
jhead-3.08-r0.apk | 33824 | 2024-Oct-25 20:48 |
jhead-doc-3.08-r0.apk | 7822 | 2024-Oct-25 20:48 |
jotdown-0.7.0-r0.apk | 250721 | 2025-Mar-11 20:13 |
jrsonnet-cli-0.4.2-r1.apk | 612371 | 2024-Oct-25 20:48 |
jsmn-1.1.0-r2.apk | 4570 | 2024-Oct-25 20:48 |
json2tsv-1.2-r0.apk | 6916 | 2024-Oct-25 20:48 |
json2tsv-doc-1.2-r0.apk | 5101 | 2024-Oct-25 20:48 |
json2tsv-jaq-1.2-r0.apk | 1684 | 2024-Oct-25 20:48 |
json2tsv-jaq-doc-1.2-r0.apk | 2121 | 2024-Oct-25 20:48 |
jsonnet-bundler-0.6.0-r7.apk | 3247035 | 2025-Aug-08 16:54 |
jsonnet-language-server-0.15.0-r6.apk | 4398887 | 2025-Aug-08 16:54 |
junit2html-31.0.2-r0.apk | 16870 | 2024-Oct-25 20:48 |
junit2html-pyc-31.0.2-r0.apk | 23920 | 2024-Oct-25 20:48 |
jwt-cli-6.2.0-r0.apk | 902770 | 2024-Dec-14 21:36 |
k2-0_git20220807-r1.apk | 102592 | 2024-Oct-25 20:48 |
k3sup-0.13.6-r7.apk | 2674238 | 2025-Aug-08 16:54 |
k3sup-bash-completion-0.13.6-r7.apk | 4873 | 2025-Aug-08 16:54 |
k3sup-fish-completion-0.13.6-r7.apk | 4112 | 2025-Aug-08 16:54 |
k3sup-zsh-completion-0.13.6-r7.apk | 3827 | 2025-Aug-08 16:54 |
kabmat-2.7.0-r0.apk | 62327 | 2024-Oct-25 20:48 |
kabmat-doc-2.7.0-r0.apk | 3354 | 2024-Oct-25 20:48 |
kanidm-1.7.3-r0.apk | 1039 | 2025-Aug-22 09:23 |
kanidm-bash-completion-1.7.3-r0.apk | 24895 | 2025-Aug-22 09:23 |
kanidm-clients-1.7.3-r0.apk | 3879968 | 2025-Aug-22 09:23 |
kanidm-openrc-1.7.3-r0.apk | 1851 | 2025-Aug-22 09:23 |
kanidm-server-1.7.3-r0.apk | 15803269 | 2025-Aug-22 09:23 |
kanidm-unixd-clients-1.7.3-r0.apk | 10227948 | 2025-Aug-22 09:23 |
kanidm-zsh-completion-1.7.3-r0.apk | 36048 | 2025-Aug-22 09:23 |
kannel-1.5.0-r11.apk | 6626142 | 2024-Oct-25 20:49 |
kannel-dev-1.5.0-r11.apk | 996932 | 2024-Oct-25 20:49 |
kannel-doc-1.5.0-r11.apk | 6079 | 2024-Oct-25 20:49 |
kapow-0.7.1-r15.apk | 3587162 | 2025-Aug-08 16:54 |
katana-1.2.2-r0.apk | 14005285 | 2025-Aug-31 11:33 |
katana-doc-1.2.2-r0.apk | 2043 | 2025-Aug-31 11:33 |
katarakt-0.2-r1.apk | 92192 | 2025-Jan-29 19:22 |
kbs2-0.7.3-r0.apk | 1335935 | 2025-Jul-30 07:29 |
kbs2-bash-completion-0.7.3-r0.apk | 3043 | 2025-Jul-30 07:29 |
kbs2-fish-completion-0.7.3-r0.apk | 3261 | 2025-Jul-30 07:29 |
kbs2-zsh-completion-0.7.3-r0.apk | 3878 | 2025-Jul-30 07:29 |
kcbench-0.9.12-r1.apk | 37792 | 2025-Aug-09 12:15 |
kcbench-doc-0.9.12-r1.apk | 20483 | 2025-Aug-09 12:15 |
kdiskmark-3.2.0-r0.apk | 176513 | 2025-Jun-30 16:46 |
kdiskmark-lang-3.2.0-r0.apk | 32326 | 2025-Jun-30 16:46 |
kerberoast-0.2.0-r2.apk | 9285 | 2025-May-29 12:09 |
kerberoast-pyc-0.2.0-r2.apk | 15151 | 2025-May-29 12:09 |
kew-3.4.0-r0.apk | 447841 | 2025-Jul-21 06:42 |
kew-doc-3.4.0-r0.apk | 3351 | 2025-Jul-21 06:42 |
keybase-client-6.2.8-r12.apk | 18273024 | 2025-Aug-08 16:55 |
keystone-0.9.2-r6.apk | 1431995 | 2024-Oct-25 20:49 |
keystone-dev-0.9.2-r6.apk | 7216 | 2024-Oct-25 20:49 |
keystone-python-0.9.2-r6.apk | 1687669 | 2024-Oct-25 20:49 |
keystone-python-pyc-0.9.2-r6.apk | 9642 | 2024-Oct-25 20:49 |
kfc-0.1.4-r0.apk | 58990 | 2024-Oct-25 20:49 |
kgraphviewer-2.5.0-r0.apk | 1377055 | 2024-Oct-25 20:49 |
kgraphviewer-dev-2.5.0-r0.apk | 6181 | 2024-Oct-25 20:49 |
kgraphviewer-lang-2.5.0-r0.apk | 235698 | 2024-Oct-25 20:49 |
khinsider-2.0.7-r22.apk | 3488303 | 2025-Aug-08 16:55 |
khronos-4.0.1-r0.apk | 56814 | 2024-Oct-25 20:49 |
khronos-lang-4.0.1-r0.apk | 26079 | 2024-Oct-25 20:49 |
kimchi-3.0.0-r8.apk | 541325 | 2025-Feb-10 20:43 |
kimchi-lang-3.0.0-r8.apk | 175763 | 2025-Feb-10 20:43 |
kimchi-pyc-3.0.0-r8.apk | 487241 | 2025-Feb-10 20:43 |
kine-0.10.1-r15.apk | 7903632 | 2025-Aug-08 16:55 |
kine-doc-0.10.1-r15.apk | 5007 | 2025-Aug-08 16:55 |
kirc-0.3.3-r0.apk | 15435 | 2025-Feb-22 11:10 |
kirc-doc-0.3.3-r0.apk | 2582 | 2025-Feb-22 11:10 |
kismet-0.202307.1-r8.apk | 12350367 | 2025-Jun-12 14:19 |
kismet-linux-bluetooth-0.202307.1-r8.apk | 46511 | 2025-Jun-12 14:19 |
kismet-linux-wifi-0.202307.1-r8.apk | 65943 | 2025-Jun-12 14:19 |
kismet-logtools-0.202307.1-r8.apk | 1239518 | 2025-Jun-12 14:19 |
kismet-nrf-51822-0.202307.1-r8.apk | 43013 | 2025-Jun-12 14:19 |
kismet-nxp-kw41z-0.202307.1-r8.apk | 44738 | 2025-Jun-12 14:19 |
kjv-0_git20221103-r0.apk | 1615292 | 2024-Oct-25 20:49 |
klong-20221212-r0.apk | 340217 | 2025-Jun-07 15:17 |
knative-client-1.18.0-r2.apk | 23887108 | 2025-Aug-08 16:55 |
knative-client-bash-completion-1.18.0-r2.apk | 10130 | 2025-Aug-08 16:55 |
knative-client-fish-completion-1.18.0-r2.apk | 4143 | 2025-Aug-08 16:55 |
knative-client-zsh-completion-1.18.0-r2.apk | 3866 | 2025-Aug-08 16:55 |
knxd-0.14.61-r1.apk | 411395 | 2024-Dec-14 21:36 |
knxd-dev-0.14.61-r1.apk | 24800 | 2024-Dec-14 21:36 |
ko-0.17.1-r7.apk | 10285793 | 2025-Aug-08 16:55 |
ko-bash-completion-0.17.1-r7.apk | 4898 | 2025-Aug-08 16:55 |
ko-fish-completion-0.17.1-r7.apk | 4128 | 2025-Aug-08 16:55 |
ko-zsh-completion-0.17.1-r7.apk | 3849 | 2025-Aug-08 16:55 |
kodaskanna-0.2.2-r0.apk | 56379 | 2025-Jan-20 08:17 |
kodaskanna-lang-0.2.2-r0.apk | 23486 | 2025-Jan-20 08:17 |
komikku-1.85.0-r0.apk | 454496 | 2025-Aug-22 08:07 |
komikku-lang-1.85.0-r0.apk | 290852 | 2025-Aug-22 08:07 |
komikku-pyc-1.85.0-r0.apk | 816483 | 2025-Aug-22 08:07 |
kompose-1.31.2-r12.apk | 7062406 | 2025-Aug-08 16:55 |
kompose-bash-completion-1.31.2-r12.apk | 5461 | 2025-Aug-08 16:55 |
kompose-fish-completion-1.31.2-r12.apk | 4211 | 2025-Aug-08 16:55 |
kompose-zsh-completion-1.31.2-r12.apk | 6675 | 2025-Aug-08 16:55 |
kondo-0.8-r0.apk | 741553 | 2024-Oct-25 20:49 |
kondo-bash-completion-0.8-r0.apk | 1883 | 2024-Oct-25 20:49 |
kondo-fish-completion-0.8-r0.apk | 1877 | 2024-Oct-25 20:49 |
kondo-zsh-completion-0.8-r0.apk | 2246 | 2024-Oct-25 20:49 |
kontainer-1.0.1-r0.apk | 199246 | 2025-Jun-16 22:23 |
kopia-0.21.1-r1.apk | 16033394 | 2025-Aug-08 16:55 |
kopia-bash-completion-0.21.1-r1.apk | 1542 | 2025-Aug-08 16:55 |
kopia-zsh-completion-0.21.1-r1.apk | 1563 | 2025-Aug-08 16:55 |
kotlin-language-server-1.3.13-r0.apk | 87302883 | 2025-Mar-28 16:14 |
krdp-6.4.4-r0.apk | 221450 | 2025-Aug-12 07:57 |
krdp-lang-6.4.4-r0.apk | 55721 | 2025-Aug-12 07:57 |
krita-plugin-gmic-3.2.4.1-r3.apk | 2938813 | 2024-Oct-25 20:49 |
kronosnet-1.30-r0.apk | 96179 | 2025-May-27 23:27 |
kronosnet-dev-1.30-r0.apk | 2684095 | 2025-May-27 23:27 |
kronosnet-doc-1.30-r0.apk | 108000 | 2025-May-27 23:27 |
ktx-4.3.2-r1.apk | 1438362 | 2025-Jun-10 02:50 |
ktx-dev-4.3.2-r1.apk | 29348 | 2025-Jun-10 02:50 |
ktx-libs-4.3.2-r1.apk | 1689837 | 2025-Jun-10 02:50 |
kube-no-trouble-0.7.3-r7.apk | 13240261 | 2025-Aug-08 16:55 |
kubeconform-0.7.0-r0.apk | 3697135 | 2025-Aug-22 12:19 |
kubectl-krew-0.4.5-r4.apk | 4600607 | 2025-Aug-08 16:55 |
kubectl-oidc_login-1.34.0-r1.apk | 5535109 | 2025-Aug-08 16:55 |
kubeone-1.10.0-r2.apk | 26695147 | 2025-Aug-08 16:55 |
kubeone-bash-completion-1.10.0-r2.apk | 6582 | 2025-Aug-08 16:55 |
kubeone-doc-1.10.0-r2.apk | 20394 | 2025-Aug-08 16:55 |
kubeone-zsh-completion-1.10.0-r2.apk | 3873 | 2025-Aug-08 16:55 |
kubepug-1.7.1-r12.apk | 16276362 | 2025-Aug-08 16:55 |
kubepug-bash-completion-1.7.1-r12.apk | 4984 | 2025-Aug-08 16:55 |
kubepug-fish-completion-1.7.1-r12.apk | 4170 | 2025-Aug-08 16:55 |
kubepug-zsh-completion-1.7.1-r12.apk | 3882 | 2025-Aug-08 16:55 |
kubeseal-0.30.0-r2.apk | 11124189 | 2025-Aug-08 16:55 |
kubeseal-doc-0.30.0-r2.apk | 5390 | 2025-Aug-08 16:55 |
kubesplit-0.3.3-r1.apk | 12711 | 2024-Oct-25 20:49 |
kubesplit-pyc-0.3.3-r1.apk | 13004 | 2024-Oct-25 20:49 |
lavacli-2.4-r0.apk | 50245 | 2025-Jun-15 03:44 |
lavacli-doc-2.4-r0.apk | 34717 | 2025-Jun-15 03:44 |
lavacli-pyc-2.4-r0.apk | 90017 | 2025-Jun-15 03:44 |
laze-0.1.38-r0.apk | 1209919 | 2025-Jul-20 20:27 |
laze-bash-completion-0.1.38-r0.apk | 2954 | 2025-Jul-20 20:27 |
laze-doc-0.1.38-r0.apk | 3419 | 2025-Jul-20 20:27 |
laze-fish-completion-0.1.38-r0.apk | 3258 | 2025-Jul-20 20:27 |
laze-zsh-completion-0.1.38-r0.apk | 3500 | 2025-Jul-20 20:27 |
lbb-0.9.1-r5.apk | 3144680 | 2025-Aug-08 16:55 |
lbb-doc-0.9.1-r5.apk | 18765 | 2025-Aug-08 16:55 |
lcalc-2.1.0-r0.apk | 182466 | 2025-May-04 02:50 |
lcalc-dev-2.1.0-r0.apk | 52331 | 2025-May-04 02:50 |
lcalc-doc-2.1.0-r0.apk | 446991 | 2025-May-04 02:50 |
lcalc-libs-2.1.0-r0.apk | 226354 | 2025-May-04 02:50 |
ldapdomaindump-0.10.0-r0.apk | 18416 | 2025-Jul-30 19:19 |
ldapdomaindump-pyc-0.10.0-r0.apk | 30821 | 2025-Jul-30 19:19 |
ldc-stage0-1.41.0-r0.apk | 11500486 | 2025-Jun-07 17:13 |
ledmon-1.0.0-r0.apk | 85912 | 2024-Oct-25 20:49 |
ledmon-doc-1.0.0-r0.apk | 14148 | 2024-Oct-25 20:49 |
lefthook-1.11.14-r2.apk | 5276886 | 2025-Aug-08 16:55 |
lefthook-doc-1.11.14-r2.apk | 2035 | 2025-Aug-08 16:55 |
legume-1.4.2-r11.apk | 1475877 | 2025-Aug-08 16:55 |
legume-doc-1.4.2-r11.apk | 12354 | 2025-Aug-08 16:55 |
leptosfmt-0.1.33-r0.apk | 1142087 | 2025-Mar-25 15:55 |
leptosfmt-doc-0.1.33-r0.apk | 5920 | 2025-Mar-25 15:55 |
levmar-dev-2.6-r0.apk | 52077 | 2024-Oct-25 20:49 |
lfm-3.1-r4.apk | 89975 | 2024-Oct-25 20:49 |
lfm-doc-3.1-r4.apk | 2565 | 2024-Oct-25 20:49 |
lfm-pyc-3.1-r4.apk | 136661 | 2024-Oct-25 20:49 |
lgogdownloader-3.16-r1.apk | 379433 | 2025-Mar-25 15:24 |
lgogdownloader-doc-3.16-r1.apk | 8445 | 2025-Mar-25 15:24 |
libabigail-2.3-r0.apk | 886524 | 2024-Oct-25 20:49 |
libabigail-bash-completion-2.3-r0.apk | 2770 | 2024-Oct-25 20:49 |
libabigail-dev-2.3-r0.apk | 1380986 | 2024-Oct-25 20:49 |
libabigail-doc-2.3-r0.apk | 62447 | 2024-Oct-25 20:49 |
libabigail-tools-2.3-r0.apk | 113542 | 2024-Oct-25 20:49 |
libantlr3c-3.4-r3.apk | 61816 | 2024-Oct-25 20:49 |
libantlr3c-dev-3.4-r3.apk | 59510 | 2024-Oct-25 20:49 |
libantlr4-4.13.2-r0.apk | 498635 | 2024-Dec-12 11:08 |
libantlr4-dev-4.13.2-r0.apk | 1018694 | 2024-Dec-12 11:08 |
libaudec-0.3.4-r3.apk | 28884 | 2024-Oct-25 20:49 |
libaudec-dev-0.3.4-r3.apk | 4118 | 2024-Oct-25 20:49 |
libaudec-static-0.3.4-r3.apk | 32476 | 2024-Oct-25 20:49 |
libaudec-tools-0.3.4-r3.apk | 29009 | 2024-Oct-25 20:49 |
libb64-2.0.0.1-r0.apk | 4667 | 2024-Oct-25 20:49 |
libb64-dev-2.0.0.1-r0.apk | 5774 | 2024-Oct-25 20:49 |
libb64-doc-2.0.0.1-r0.apk | 7963 | 2024-Oct-25 20:49 |
libbamf-0.5.6-r1.apk | 163707 | 2024-Oct-25 20:49 |
libbamf-dev-0.5.6-r1.apk | 6303 | 2024-Oct-25 20:49 |
libbamf-doc-0.5.6-r1.apk | 31558 | 2024-Oct-25 20:49 |
libbloom-2.0-r0.apk | 6202 | 2024-Oct-25 20:49 |
libbloom-dev-2.0-r0.apk | 3294 | 2024-Oct-25 20:49 |
libbraiding-1.3.1-r0.apk | 114179 | 2024-Nov-17 04:09 |
libbraiding-dev-1.3.1-r0.apk | 15165 | 2024-Nov-17 04:09 |
libbsoncxx-3.8.0-r0.apk | 46628 | 2024-Oct-25 20:49 |
libbsoncxx-dev-3.8.0-r0.apk | 39339 | 2024-Oct-25 20:49 |
libclc-next-22.0.0_pre20250830-r0.apk | 18087147 | 2025-Aug-31 17:48 |
libcli-1.10.7-r0.apk | 37221 | 2024-Oct-25 20:49 |
libcob4-3.2-r0.apk | 216697 | 2025-Jul-28 14:02 |
libcork-0.15.0-r7.apk | 38174 | 2024-Oct-25 20:49 |
libcork-dev-0.15.0-r7.apk | 30437 | 2024-Oct-25 20:49 |
libcork-tools-0.15.0-r7.apk | 4881 | 2024-Oct-25 20:49 |
libcorkipset-1.1.1-r4.apk | 14908 | 2024-Oct-25 20:49 |
libcorkipset-dev-1.1.1-r4.apk | 8022 | 2024-Oct-25 20:49 |
libcorkipset-tools-1.1.1-r4.apk | 16609 | 2024-Oct-25 20:49 |
libcotp-3.1.0-r0.apk | 9441 | 2024-Oct-25 20:49 |
libcotp-dev-3.1.0-r0.apk | 2260 | 2024-Oct-25 20:49 |
libcpdf-2.8.1-r0.apk | 2451193 | 2025-May-08 12:40 |
libcpdf-dev-2.8.1-r0.apk | 16886 | 2025-May-08 12:40 |
libcpdf-static-2.8.1-r0.apk | 3747291 | 2025-May-08 12:40 |
libctl-4.5.1-r1.apk | 102197 | 2024-Oct-25 20:49 |
libctl-dev-4.5.1-r1.apk | 39212 | 2024-Oct-25 20:49 |
libctl-doc-4.5.1-r1.apk | 2822 | 2024-Oct-25 20:49 |
libcyaml-1.4.2-r0.apk | 22205 | 2024-Oct-25 20:49 |
libcyaml-dev-1.4.2-r0.apk | 12899 | 2024-Oct-25 20:49 |
libcyaml-doc-1.4.2-r0.apk | 8586 | 2024-Oct-25 20:49 |
libcyaml-static-1.4.2-r0.apk | 25616 | 2024-Oct-25 20:49 |
libdbusaccess-1.0.20-r1.apk | 20322 | 2025-Aug-09 12:15 |
libdbusaccess-dev-1.0.20-r1.apk | 4853 | 2025-Aug-09 12:15 |
libdcmtk-3.6.9-r0.apk | 6805872 | 2025-Jan-19 16:48 |
libdng-0.2.1-r0.apk | 11997 | 2024-Dec-27 22:17 |
libdng-dev-0.2.1-r0.apk | 3009 | 2024-Dec-27 22:17 |
libdng-doc-0.2.1-r0.apk | 4031 | 2024-Dec-27 22:17 |
libdng-utils-0.2.1-r0.apk | 6387 | 2024-Dec-27 22:17 |
libeantic-2.1.0-r1.apk | 81070 | 2025-Jun-13 05:00 |
libeantic-dev-2.1.0-r1.apk | 17657 | 2025-Jun-13 05:00 |
libecap-1.0.1-r1.apk | 13615 | 2024-Oct-25 20:49 |
libecap-dev-1.0.1-r1.apk | 11431 | 2024-Oct-25 20:49 |
libecap-static-1.0.1-r1.apk | 18450 | 2024-Oct-25 20:49 |
libemf2svg-1.1.0-r2.apk | 167739 | 2024-Oct-25 20:49 |
libemf2svg-utils-1.1.0-r2.apk | 20335 | 2024-Oct-25 20:49 |
liberasurecode-1.6.3-r1.apk | 45963 | 2024-Oct-25 20:49 |
liberasurecode-dev-1.6.3-r1.apk | 18438 | 2024-Oct-25 20:49 |
libettercap-0.8.3.1-r3.apk | 203890 | 2024-Oct-25 20:49 |
libfishsound-1.0.0-r1.apk | 10934 | 2024-Oct-25 20:49 |
libfishsound-dev-1.0.0-r1.apk | 58042 | 2024-Oct-25 20:49 |
libfishsound-doc-1.0.0-r1.apk | 77128 | 2024-Oct-25 20:49 |
libfoma-0.10.0_git20240712-r0.apk | 112818 | 2024-Oct-25 20:49 |
libfort-0.4.2-r0.apk | 32367 | 2024-Oct-25 20:49 |
libfort-dev-0.4.2-r0.apk | 17849 | 2024-Oct-25 20:49 |
libfyaml-0.9-r0.apk | 307367 | 2024-Oct-25 20:49 |
libfyaml-dev-0.9-r0.apk | 43105 | 2024-Oct-25 20:49 |
libfyaml-doc-0.9-r0.apk | 7331 | 2024-Oct-25 20:49 |
libgdcm-3.0.24-r2.apk | 2604439 | 2025-Aug-04 12:59 |
libgivaro-4.2.0-r2.apk | 80615 | 2024-Oct-25 20:49 |
libgivaro-dev-4.2.0-r2.apk | 250043 | 2024-Oct-25 20:49 |
libgivaro-static-4.2.0-r2.apk | 101874 | 2024-Oct-25 20:49 |
libglib-testing-0.1.1-r0.apk | 13216 | 2025-May-08 12:40 |
libglib-testing-dev-0.1.1-r0.apk | 5381 | 2025-May-08 12:40 |
libglib-testing-doc-0.1.1-r0.apk | 25345 | 2025-May-08 12:40 |
libgrapheme-2.0.2-r0.apk | 26707 | 2025-Jul-22 20:52 |
libgrapheme-dev-2.0.2-r0.apk | 33654 | 2025-Jul-22 20:52 |
libgrapheme-doc-2.0.2-r0.apk | 20885 | 2025-Jul-22 20:52 |
libguestfs-1.56.1-r0.apk | 310899 | 2025-Jul-22 22:10 |
libguestfs-dev-1.56.1-r0.apk | 29860 | 2025-Jul-22 22:10 |
libguestfs-doc-1.56.1-r0.apk | 581935 | 2025-Jul-22 22:10 |
libguestfs-static-1.56.1-r0.apk | 520944 | 2025-Jul-22 22:10 |
libhomfly-1.02_p6-r1.apk | 19735 | 2024-Oct-25 20:49 |
libhomfly-dev-1.02_p6-r1.apk | 23842 | 2024-Oct-25 20:49 |
libhwpwm-0.4.4-r0.apk | 5856 | 2024-Oct-25 20:49 |
libhwpwm-dev-0.4.4-r0.apk | 5960 | 2024-Oct-25 20:49 |
libhwpwm-doc-0.4.4-r0.apk | 13092 | 2024-Oct-25 20:49 |
libibmad-1.3.13-r2.apk | 32258 | 2024-Oct-25 20:49 |
libibmad-dev-1.3.13-r2.apk | 13034 | 2024-Oct-25 20:49 |
libibumad-1.3.10.2-r3.apk | 15744 | 2024-Oct-25 20:49 |
libibumad-dev-1.3.10.2-r3.apk | 7490 | 2024-Oct-25 20:49 |
libibumad-doc-1.3.10.2-r3.apk | 23489 | 2024-Oct-25 20:49 |
libideviceactivation-1.1.1-r5.apk | 19064 | 2024-Oct-30 22:46 |
libideviceactivation-dev-1.1.1-r5.apk | 3123 | 2024-Oct-30 22:46 |
libideviceactivation-doc-1.1.1-r5.apk | 1963 | 2024-Oct-30 22:46 |
libigraph-0.10.16-r0.apk | 1453878 | 2025-Jun-11 20:20 |
libigraph-dev-0.10.16-r0.apk | 92699 | 2025-Jun-11 20:20 |
libiio-0.25-r2.apk | 56791 | 2024-Oct-25 20:49 |
libiio-dev-0.25-r2.apk | 13407 | 2024-Oct-25 20:49 |
libiio-doc-0.25-r2.apk | 18022 | 2024-Oct-25 20:49 |
libiio-pyc-0.25-r2.apk | 21190 | 2024-Oct-25 20:49 |
libiio-tools-0.25-r2.apk | 102854 | 2024-Oct-25 20:49 |
libiml-1.0.5-r3.apk | 85169 | 2024-Oct-25 20:49 |
libiml-dev-1.0.5-r3.apk | 3772 | 2024-Oct-25 20:49 |
libiml-static-1.0.5-r3.apk | 87350 | 2024-Oct-25 20:49 |
libinfnoise-0.3.3-r0.apk | 14130 | 2025-May-26 17:46 |
libirecovery-1.2.1-r0.apk | 23994 | 2024-Oct-30 22:46 |
libirecovery-dev-1.2.1-r0.apk | 3917 | 2024-Oct-30 22:46 |
libirecovery-progs-1.2.1-r0.apk | 8666 | 2024-Oct-30 22:46 |
libiscsi-1.19.0-r2.apk | 63752 | 2024-Oct-25 20:49 |
libiscsi-dev-1.19.0-r2.apk | 20631 | 2024-Oct-25 20:49 |
libiscsi-doc-1.19.0-r2.apk | 9236 | 2024-Oct-25 20:49 |
libiscsi-static-1.19.0-r2.apk | 80094 | 2024-Oct-25 20:49 |
libiscsi-utils-1.19.0-r2.apk | 97616 | 2024-Oct-25 20:49 |
libjodycode-3.1.1-r0.apk | 8201 | 2024-Oct-25 20:49 |
libjodycode-dev-3.1.1-r0.apk | 4049 | 2024-Oct-25 20:49 |
libjodycode-doc-3.1.1-r0.apk | 3488 | 2024-Oct-25 20:49 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 173021 | 2024-Oct-25 20:49 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19827 | 2024-Oct-25 20:49 |
libm4ri-20240729-r2.apk | 147737 | 2025-Jan-15 18:08 |
libm4ri-dev-20240729-r2.apk | 32011 | 2025-Jan-15 18:08 |
libm4ri-static-20240729-r2.apk | 163238 | 2025-Jan-15 18:08 |
libm4rie-20200125-r5.apk | 203195 | 2025-Jan-15 18:08 |
libm4rie-dev-20200125-r5.apk | 24716 | 2025-Jan-15 18:08 |
libm4rie-static-20200125-r5.apk | 226170 | 2025-Jan-15 18:08 |
libmdbx-0.11.8-r0.apk | 845420 | 2024-Oct-25 20:49 |
libmdbx-dbg-0.11.8-r0.apk | 2475918 | 2024-Oct-25 20:49 |
libmdbx-dev-0.11.8-r0.apk | 95431 | 2024-Oct-25 20:49 |
libmdbx-doc-0.11.8-r0.apk | 9065 | 2024-Oct-25 20:49 |
libmhash-0.9.9.9-r3.apk | 101474 | 2024-Oct-25 20:49 |
libmhash-dev-0.9.9.9-r3.apk | 115378 | 2024-Oct-25 20:49 |
libmhash-doc-0.9.9.9-r3.apk | 8347 | 2024-Oct-25 20:49 |
libmpfi-1.5.4-r2.apk | 41407 | 2024-Oct-25 20:49 |
libmpfi-dev-1.5.4-r2.apk | 5244 | 2024-Oct-25 20:49 |
libmpfi-doc-1.5.4-r2.apk | 18928 | 2024-Oct-25 20:49 |
libmpfi-static-1.5.4-r2.apk | 58470 | 2024-Oct-25 20:49 |
libmrss-0.19.2-r1.apk | 20921 | 2024-Oct-25 20:49 |
libmrss-dev-0.19.2-r1.apk | 32603 | 2024-Oct-25 20:49 |
libmustache-0.5.0-r1.apk | 88409 | 2024-Oct-25 20:49 |
libmygpo-qt-1.1.0-r2.apk | 92427 | 2024-Oct-25 20:49 |
libmygpo-qt-dev-1.1.0-r2.apk | 11871 | 2024-Oct-25 20:49 |
libmysofa-1.3.2-r0.apk | 27760 | 2024-Oct-25 20:49 |
libmysofa-dev-1.3.2-r0.apk | 6917 | 2024-Oct-25 20:49 |
libmysofa-tools-1.3.2-r0.apk | 1122268 | 2024-Oct-25 20:49 |
libneo4j-client-2.2.0-r3.apk | 74635 | 2024-Oct-25 20:49 |
libneo4j-client-dev-2.2.0-r3.apk | 126187 | 2024-Oct-25 20:49 |
libnest2d-0.4-r7.apk | 980 | 2025-Feb-06 04:46 |
libnest2d-dev-0.4-r7.apk | 71284 | 2025-Feb-06 04:46 |
libnfc-1.8.0-r1.apk | 56298 | 2024-Oct-25 20:49 |
libnfc-dev-1.8.0-r1.apk | 7808 | 2024-Oct-25 20:49 |
libnfc-doc-1.8.0-r1.apk | 22236 | 2024-Oct-25 20:49 |
libnfc-tools-1.8.0-r1.apk | 94029 | 2024-Oct-25 20:49 |
libnfcdef-1.0.1-r1.apk | 15360 | 2025-Aug-09 12:15 |
libnfcdef-dev-1.0.1-r1.apk | 5606 | 2025-Aug-09 12:15 |
libnih-1.0.3-r7.apk | 112808 | 2024-Oct-25 20:49 |
libnih-dev-1.0.3-r7.apk | 126445 | 2024-Oct-25 20:49 |
libnih-doc-1.0.3-r7.apk | 2506 | 2024-Oct-25 20:49 |
libntl-11.5.1-r4.apk | 1325510 | 2024-Oct-25 20:49 |
libntl-dev-11.5.1-r4.apk | 162578 | 2024-Oct-25 20:49 |
libntl-doc-11.5.1-r4.apk | 382275 | 2024-Oct-25 20:49 |
libntl-static-11.5.1-r4.apk | 1941112 | 2024-Oct-25 20:49 |
libnxml-0.18.3-r0.apk | 21067 | 2024-Oct-25 20:49 |
libnxml-dev-0.18.3-r0.apk | 30155 | 2024-Oct-25 20:49 |
libofx-0.10.9-r1.apk | 64207 | 2024-Oct-25 20:49 |
libofx-dev-0.10.9-r1.apk | 19788 | 2024-Oct-25 20:49 |
libofx-tools-0.10.9-r1.apk | 108007 | 2024-Oct-25 20:49 |
liboggz-1.1.1-r2.apk | 131714 | 2024-Oct-25 20:49 |
liboggz-dev-1.1.1-r2.apk | 174347 | 2024-Oct-25 20:49 |
liboggz-doc-1.1.1-r2.apk | 137186 | 2024-Oct-25 20:49 |
libqofono-0.124-r0.apk | 984 | 2025-Jan-10 12:05 |
libqofono-dev-0.124-r0.apk | 42842 | 2025-Jan-10 12:05 |
libqofono-qt5-0.124-r0.apk | 302468 | 2025-Jan-10 12:05 |
libqofono-qt6-0.124-r0.apk | 459350 | 2025-Jan-10 12:05 |
libqtdbusmock-0.9.1-r2.apk | 73431 | 2025-Feb-18 22:21 |
libqtdbustest-0.3.3-r1.apk | 34990 | 2025-Feb-18 22:21 |
libre-3.23.0-r0.apk | 308909 | 2025-Jun-27 07:14 |
libre-dev-3.23.0-r0.apk | 522778 | 2025-Jun-27 07:14 |
libreoffice-voikko-5.0_git20200127-r0.apk | 46191 | 2024-Oct-25 20:49 |
librespot-0.6.0-r0.apk | 3268423 | 2024-Nov-01 21:57 |
librespot-openrc-0.6.0-r0.apk | 1589 | 2024-Nov-01 21:57 |
libresprite-1.2-r0.apk | 15796143 | 2025-Apr-13 22:18 |
libresprite-doc-1.2-r0.apk | 14809 | 2025-Apr-13 22:18 |
libretro-atari800-0_git20240924-r0.apk | 322402 | 2024-Oct-25 20:49 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 505464 | 2024-Oct-25 20:49 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 374766 | 2024-Oct-25 20:49 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1763776 | 2024-Oct-25 20:49 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 516575 | 2024-Oct-25 20:49 |
libretro-blastem-0_git20210810-r0.apk | 283218 | 2024-Oct-25 20:49 |
libretro-bluemsx-0_git20240808-r0.apk | 728747 | 2024-Oct-25 20:49 |
libretro-cannonball-0_git20220309-r6.apk | 291912 | 2024-Oct-25 20:49 |
libretro-cap32-0_git20220419-r0.apk | 321758 | 2024-Oct-25 20:49 |
libretro-crocods-0_git20210314-r1.apk | 304580 | 2024-Oct-25 20:49 |
libretro-daphne-0_git20210108-r2.apk | 638324 | 2024-Oct-25 20:49 |
libretro-dinothawr-0_git20220401-r0.apk | 153476 | 2024-Oct-25 20:49 |
libretro-fbneo-0_git20220416-r0.apk | 13734348 | 2024-Oct-25 20:49 |
libretro-freeintv-0_git20220319-r0.apk | 41294 | 2024-Oct-25 20:49 |
libretro-frodo-0_git20221221-r0.apk | 174655 | 2024-Oct-25 20:49 |
libretro-fuse-0_git20220417-r0.apk | 1028760 | 2024-Oct-25 20:49 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 785776 | 2024-Oct-25 20:49 |
libretro-gong-0_git20220319-r0.apk | 9183 | 2024-Oct-25 20:49 |
libretro-gw-0_git20220410-r0.apk | 223090 | 2024-Oct-25 20:49 |
libretro-mame2000-0_git20240701-r0.apk | 3064791 | 2024-Oct-25 20:49 |
libretro-mame2003-0_git20240904-r0.apk | 7448913 | 2024-Oct-25 20:49 |
libretro-mu-0_git20220317-r0.apk | 203545 | 2024-Oct-25 20:49 |
libretro-neocd-0_git20220325-r1.apk | 509707 | 2024-Oct-25 20:49 |
libretro-nxengine-0_git20220301-r0.apk | 361959 | 2024-Oct-25 20:49 |
libretro-openlara-0_git20210121-r0.apk | 606171 | 2024-Oct-25 20:49 |
libretro-opera-0_git20211214-r0.apk | 206117 | 2024-Oct-25 20:49 |
libretro-pcsx-rearmed-0_git20220409-r0.apk | 588788 | 2024-Oct-25 20:49 |
libretro-pocketcdg-0_git20220327-r0.apk | 97157 | 2024-Oct-25 20:49 |
libretro-ppsspp-0_git20210516-r15.apk | 2310290 | 2025-Apr-10 20:33 |
libretro-scummvm-0_git20210325-r0.apk | 23311773 | 2024-Oct-25 20:49 |
libretro-snes9x-0_git20240819-r0.apk | 739778 | 2024-Oct-25 20:49 |
libretro-theodore-3.1-r0.apk | 894832 | 2024-Oct-25 20:49 |
libretro-tyrquake-0_git20220409-r0.apk | 478964 | 2024-Oct-25 20:49 |
libretro-xrick-0_git20220331-r0.apk | 134958 | 2024-Oct-25 20:49 |
libsbsms-2.3.0-r0.apk | 112012 | 2024-Oct-25 20:49 |
libsbsms-dev-2.3.0-r0.apk | 137621 | 2024-Oct-25 20:49 |
libsds-2.0.0-r1.apk | 10479 | 2024-Oct-25 20:49 |
libsds-dev-2.0.0-r1.apk | 3868 | 2024-Oct-25 20:49 |
libsemanage-3.6-r1.apk | 99055 | 2024-Oct-25 20:49 |
libsemanage-dev-3.6-r1.apk | 161473 | 2024-Oct-25 20:49 |
libsemanage-doc-3.6-r1.apk | 23199 | 2024-Oct-25 20:49 |
libsemigroups-2.7.3-r1.apk | 782723 | 2024-Dec-14 21:36 |
libsemigroups-dev-2.7.3-r1.apk | 342268 | 2024-Dec-14 21:36 |
libsemigroups-static-2.7.3-r1.apk | 1739554 | 2024-Dec-14 21:36 |
libserialport-0.1.1-r1.apk | 20198 | 2024-Oct-25 20:49 |
libserialport-dev-0.1.1-r1.apk | 41018 | 2024-Oct-25 20:49 |
libshadowsocks-libev-3.3.5-r4.apk | 49557 | 2024-Oct-25 20:49 |
libsigrok-0.5.2-r3.apk | 510298 | 2024-Oct-25 20:49 |
libsigrok-dev-0.5.2-r3.apk | 31144 | 2024-Oct-25 20:49 |
libsigrokdecode-0.5.3-r4.apk | 344537 | 2024-Oct-25 20:49 |
libsigrokdecode-dev-0.5.3-r4.apk | 42198 | 2024-Oct-25 20:49 |
libsimpleble-0.10.3-r0.apk | 203020 | 2025-Jul-11 02:59 |
libsimpleble-c-0.10.3-r0.apk | 16677 | 2025-Jul-11 02:59 |
libsimplebluez-0.10.3-r0.apk | 145658 | 2025-Jul-11 02:59 |
libsirocco-2.1.1-r0.apk | 65123 | 2025-Aug-31 17:49 |
libsirocco-dev-2.1.1-r0.apk | 1989 | 2025-Aug-31 17:49 |
libspatialindex-2.1.0-r0.apk | 313754 | 2025-May-31 10:12 |
libspatialindex-dev-2.1.0-r0.apk | 21660 | 2025-May-31 10:12 |
libstirshaken-0_git20240208-r4.apk | 54095 | 2025-Apr-28 19:57 |
libstirshaken-dev-0_git20240208-r4.apk | 85468 | 2025-Apr-28 19:57 |
libstirshaken-tools-0_git20240208-r4.apk | 174260 | 2025-Apr-28 19:57 |
libsymmetrica-3.0.1-r2.apk | 4274623 | 2024-Oct-25 20:49 |
libsymmetrica-dev-3.0.1-r2.apk | 32563 | 2024-Oct-25 20:49 |
libsymmetrica-static-3.0.1-r2.apk | 5453060 | 2024-Oct-25 20:49 |
libtcmu-1.6.0-r6.apk | 39329 | 2024-Oct-25 20:49 |
libtcmu-dev-1.6.0-r6.apk | 1284 | 2024-Oct-25 20:49 |
libtins-4.5-r1.apk | 328245 | 2024-Oct-25 20:49 |
libtins-dev-4.5-r1.apk | 144447 | 2024-Oct-25 20:49 |
libtins-doc-4.5-r1.apk | 2107 | 2024-Oct-25 20:49 |
libtsm-4.1.0-r0.apk | 28143 | 2025-Jul-08 06:04 |
libtsm-dev-4.1.0-r0.apk | 10967 | 2025-Jul-08 06:04 |
libucl-0.9.0-r0.apk | 57182 | 2024-Oct-25 20:49 |
libucl-dev-0.9.0-r0.apk | 88629 | 2024-Oct-25 20:49 |
libucl-doc-0.9.0-r0.apk | 8807 | 2024-Oct-25 20:49 |
libuecc-7-r4.apk | 10155 | 2025-Mar-03 16:06 |
libuecc-dev-7-r4.apk | 4478 | 2025-Mar-03 16:06 |
libuninameslist-20230916-r0.apk | 385196 | 2024-Oct-25 20:49 |
libuninameslist-dev-20230916-r0.apk | 3283 | 2024-Oct-25 20:49 |
libuninameslist-doc-20230916-r0.apk | 1825 | 2024-Oct-25 20:49 |
libupstart-2.0.3-r5.apk | 53251 | 2024-Oct-25 20:49 |
libvdpau-va-gl-0.4.2-r0.apk | 61304 | 2024-Oct-25 20:49 |
libvisio2svg-0.5.5-r3.apk | 16671 | 2024-Oct-25 20:49 |
libvisio2svg-dev-0.5.5-r3.apk | 2722 | 2024-Oct-25 20:49 |
libvisio2svg-utils-0.5.5-r3.apk | 130266 | 2024-Oct-25 20:49 |
libvmaf-3.0.0-r0.apk | 341981 | 2024-Oct-25 20:49 |
libvmaf-dev-3.0.0-r0.apk | 203860 | 2024-Oct-25 20:49 |
libvoikko-4.3.2-r1.apk | 138995 | 2024-Oct-25 20:49 |
libvoikko-dev-4.3.2-r1.apk | 9883 | 2024-Oct-25 20:49 |
libvoikko-doc-4.3.2-r1.apk | 5594 | 2024-Oct-25 20:49 |
libwasmtime-34.0.1-r0.apk | 3185824 | 2025-Jul-21 02:35 |
libwasmtime-static-34.0.1-r0.apk | 5048802 | 2025-Jul-21 02:35 |
libwbxml-0.11.8-r0.apk | 81790 | 2024-Oct-25 20:49 |
libwbxml-dev-0.11.8-r0.apk | 9178 | 2024-Oct-25 20:49 |
libwbxml-doc-0.11.8-r0.apk | 28946 | 2024-Oct-25 20:49 |
libwhich-1.2.0-r0.apk | 4541 | 2024-Oct-25 20:49 |
libwmiclient-1.3.16-r5.apk | 1227 | 2024-Oct-25 20:49 |
libwmiclient-dev-1.3.16-r5.apk | 1468 | 2024-Oct-25 20:49 |
libxml++-5.4.0-r0.apk | 68835 | 2025-Feb-13 07:48 |
libxml++-dev-5.4.0-r0.apk | 29810 | 2025-Feb-13 07:48 |
libxo-1.7.5-r0.apk | 189198 | 2025-Jan-12 22:46 |
libxo-dev-1.7.5-r0.apk | 79540 | 2025-Jan-12 22:46 |
libxo-doc-1.7.5-r0.apk | 64060 | 2025-Jan-12 22:46 |
libzn_poly-0.9.2-r2.apk | 51096 | 2024-Oct-25 20:49 |
libzn_poly-dev-0.9.2-r2.apk | 8097 | 2024-Oct-25 20:49 |
libzn_poly-static-0.9.2-r2.apk | 55141 | 2024-Oct-25 20:49 |
libzrtpcpp-4.7.0-r0.apk | 165709 | 2025-Jan-04 21:55 |
libzrtpcpp-dev-4.7.0-r0.apk | 38499 | 2025-Jan-04 21:55 |
libzvbi-0.2.44-r0.apk | 231097 | 2025-Mar-11 21:05 |
libzvbi-dev-0.2.44-r0.apk | 14382 | 2025-Mar-11 21:05 |
libzvbi-static-0.2.44-r0.apk | 311449 | 2025-Mar-11 21:05 |
licenseheaders-0.8.8-r4.apk | 18054 | 2024-Oct-25 20:49 |
licenseheaders-pyc-0.8.8-r4.apk | 18586 | 2024-Oct-25 20:49 |
limnoria-20240828-r0.apk | 1130053 | 2024-Oct-25 20:49 |
limnoria-doc-20240828-r0.apk | 8337 | 2024-Oct-25 20:49 |
limnoria-pyc-20240828-r0.apk | 1274692 | 2024-Oct-25 20:49 |
linkchecker-10.6.0-r0.apk | 185179 | 2025-Aug-10 11:40 |
linkchecker-doc-10.6.0-r0.apk | 39739 | 2025-Aug-10 11:40 |
linkchecker-pyc-10.6.0-r0.apk | 259489 | 2025-Aug-10 11:40 |
linkquisition-1.6.1-r7.apk | 12558318 | 2025-Aug-08 16:55 |
linphone-5.3.38-r0.apk | 9481676 | 2024-Oct-25 20:49 |
linphone-dev-5.3.38-r0.apk | 255450 | 2024-Oct-25 20:49 |
linphone-libs-5.3.38-r0.apk | 3282083 | 2024-Oct-25 20:49 |
linux-apfs-rw-src-0.3.8-r0.apk | 201530 | 2024-Oct-25 20:49 |
linux-timemachine-1.3.2-r0.apk | 4909 | 2024-Oct-25 20:49 |
linuxkit-1.6.0-r2.apk | 13263570 | 2025-Aug-08 16:55 |
linuxkit-doc-1.6.0-r2.apk | 10235 | 2025-Aug-08 16:55 |
linuxptp-4.4-r0.apk | 982 | 2024-Nov-17 17:33 |
linuxptp-doc-4.4-r0.apk | 39097 | 2024-Nov-17 17:33 |
linuxptp-hwstamp_ctl-4.4-r0.apk | 4644 | 2024-Nov-17 17:33 |
linuxptp-nsm-4.4-r0.apk | 32707 | 2024-Nov-17 17:33 |
linuxptp-phc2sys-4.4-r0.apk | 38761 | 2024-Nov-17 17:33 |
linuxptp-phc_ctl-4.4-r0.apk | 10252 | 2024-Nov-17 17:33 |
linuxptp-pmc-4.4-r0.apk | 36581 | 2024-Nov-17 17:33 |
linuxptp-ptp4l-4.4-r0.apk | 80974 | 2024-Nov-17 17:33 |
linuxptp-timemaster-4.4-r0.apk | 17294 | 2024-Nov-17 17:33 |
linuxptp-ts2phc-4.4-r0.apk | 37955 | 2024-Nov-17 17:33 |
linuxptp-tz2alt-4.4-r0.apk | 20340 | 2024-Nov-17 17:33 |
liquibase-4.9.1-r0.apk | 33161795 | 2024-Oct-25 20:49 |
liquibase-doc-4.9.1-r0.apk | 58257 | 2024-Oct-25 20:49 |
litehtml-0.9-r2.apk | 360359 | 2025-Feb-18 22:21 |
litehtml-dev-0.9-r2.apk | 42383 | 2025-Feb-18 22:21 |
litehtml-static-0.9-r2.apk | 574629 | 2025-Feb-18 22:21 |
litterbox-1.9-r1.apk | 37795 | 2024-Oct-25 20:49 |
litterbox-doc-1.9-r1.apk | 7153 | 2024-Oct-25 20:49 |
lizardfs-3.13.0-r17.apk | 118004 | 2025-Jun-19 08:53 |
lizardfs-bash-completion-3.13.0-r17.apk | 1665 | 2025-Jun-19 08:53 |
lizardfs-cgi-3.13.0-r17.apk | 31950 | 2025-Jun-19 08:53 |
lizardfs-cgiserv-3.13.0-r17.apk | 7278 | 2025-Jun-19 08:53 |
lizardfs-cgiserv-openrc-3.13.0-r17.apk | 1757 | 2025-Jun-19 08:53 |
lizardfs-chunkserver-3.13.0-r17.apk | 319197 | 2025-Jun-19 08:53 |
lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1432 | 2025-Jun-19 08:53 |
lizardfs-client-3.13.0-r17.apk | 1129446 | 2025-Jun-19 08:53 |
lizardfs-doc-3.13.0-r17.apk | 11455 | 2025-Jun-19 08:53 |
lizardfs-master-3.13.0-r17.apk | 865812 | 2025-Jun-19 08:53 |
lizardfs-master-openrc-3.13.0-r17.apk | 1420 | 2025-Jun-19 08:53 |
lizardfs-metalogger-3.13.0-r17.apk | 131652 | 2025-Jun-19 08:53 |
lizardfs-metalogger-openrc-3.13.0-r17.apk | 1422 | 2025-Jun-19 08:53 |
lld-next-22.0.0_pre20250830-r0.apk | 8934 | 2025-Aug-31 17:48 |
lld-next-dev-22.0.0_pre20250830-r0.apk | 18746 | 2025-Aug-31 17:48 |
lld-next-libs-22.0.0_pre20250830-r0.apk | 2164389 | 2025-Aug-31 17:48 |
lld21-21.1.0-r1.apk | 36736 | 2025-Aug-27 17:13 |
lld21-dbg-21.1.0-r1.apk | 5323200 | 2025-Aug-27 17:13 |
lld21-dev-21.1.0-r1.apk | 18561 | 2025-Aug-27 17:13 |
lld21-doc-21.1.0-r1.apk | 11570 | 2025-Aug-27 17:13 |
lld21-libs-21.1.0-r1.apk | 2919223 | 2025-Aug-27 17:13 |
lldb-next-22.0.0_pre20250830-r0.apk | 8455929 | 2025-Aug-31 17:48 |
lldb-next-dev-22.0.0_pre20250830-r0.apk | 806381 | 2025-Aug-31 17:48 |
lldb-next-pyc-22.0.0_pre20250830-r0.apk | 314093 | 2025-Aug-31 17:48 |
llmnrd-0.7-r1.apk | 18611 | 2024-Oct-25 20:49 |
llmnrd-doc-0.7-r1.apk | 2843 | 2024-Oct-25 20:49 |
llmnrd-openrc-0.7-r1.apk | 1667 | 2024-Oct-25 20:49 |
llvm-next-22.0.0_pre20250830-r0.apk | 26497395 | 2025-Aug-31 17:48 |
llvm-next-dev-22.0.0_pre20250830-r0.apk | 9878957 | 2025-Aug-31 17:48 |
llvm-next-gtest-22.0.0_pre20250830-r0.apk | 477131 | 2025-Aug-31 17:48 |
llvm-next-libc++-22.0.0_pre20250830-r0.apk | 397519 | 2025-Aug-31 17:48 |
llvm-next-libc++-dev-22.0.0_pre20250830-r0.apk | 1842060 | 2025-Aug-31 17:48 |
llvm-next-libc++-static-22.0.0_pre20250830-r0.apk | 716480 | 2025-Aug-31 17:48 |
llvm-next-libgcc-22.0.0_pre20250830-r0.apk | 46566 | 2025-Aug-31 17:48 |
llvm-next-libgcc-dev-22.0.0_pre20250830-r0.apk | 1749 | 2025-Aug-31 17:48 |
llvm-next-libs-22.0.0_pre20250830-r0.apk | 35723012 | 2025-Aug-31 17:48 |
llvm-next-libunwind-22.0.0_pre20250830-r0.apk | 19738 | 2025-Aug-31 17:48 |
llvm-next-libunwind-dev-22.0.0_pre20250830-r0.apk | 20104 | 2025-Aug-31 17:48 |
llvm-next-libunwind-static-22.0.0_pre20250830-r0..> | 26310 | 2025-Aug-31 17:48 |
llvm-next-linker-tools-22.0.0_pre20250830-r0.apk | 1522574 | 2025-Aug-31 17:48 |
llvm-next-lit-22.0.0_pre20250830-r0.apk | 94841 | 2025-Aug-31 17:48 |
llvm-next-lit-pyc-22.0.0_pre20250830-r0.apk | 160663 | 2025-Aug-31 17:48 |
llvm-next-offload-22.0.0_pre20250830-r0.apk | 268603 | 2025-Aug-31 17:48 |
llvm-next-offload-dev-22.0.0_pre20250830-r0.apk | 421899 | 2025-Aug-31 17:48 |
llvm-next-openmp-22.0.0_pre20250830-r0.apk | 630297 | 2025-Aug-31 17:48 |
llvm-next-openmp-dev-22.0.0_pre20250830-r0.apk | 525454 | 2025-Aug-31 17:48 |
llvm-next-polly-22.0.0_pre20250830-r0.apk | 2759042 | 2025-Aug-31 17:48 |
llvm-next-runtime-22.0.0_pre20250830-r0.apk | 545890 | 2025-Aug-31 17:48 |
llvm-next-static-22.0.0_pre20250830-r0.apk | 69074298 | 2025-Aug-31 17:48 |
llvm-next-test-utils-22.0.0_pre20250830-r0.apk | 805003 | 2025-Aug-31 17:48 |
llvm21-21.1.0-r0.apk | 37815907 | 2025-Aug-27 09:26 |
llvm21-dev-21.1.0-r0.apk | 6364289 | 2025-Aug-27 09:26 |
llvm21-gtest-21.1.0-r0.apk | 630636 | 2025-Aug-27 09:26 |
llvm21-libs-21.1.0-r0.apk | 45510139 | 2025-Aug-27 09:26 |
llvm21-linker-tools-21.1.0-r0.apk | 77822 | 2025-Aug-27 09:26 |
llvm21-static-21.1.0-r0.apk | 72959068 | 2025-Aug-27 09:26 |
llvm21-test-utils-21.1.0-r0.apk | 584779 | 2025-Aug-27 09:26 |
llvm21-test-utils-pyc-21.1.0-r0.apk | 158606 | 2025-Aug-27 09:26 |
lockrun-1.1.3-r1.apk | 5534 | 2024-Oct-25 20:49 |
log4cpp-1.1.4-r1.apk | 73611 | 2024-Oct-25 20:49 |
log4cpp-dev-1.1.4-r1.apk | 39566 | 2024-Oct-25 20:49 |
log4cxx-1.1.0-r3.apk | 553247 | 2025-Feb-18 22:21 |
log4cxx-dev-1.1.0-r3.apk | 138181 | 2025-Feb-18 22:21 |
logc-0.5.0-r1.apk | 8895 | 2025-Jun-13 14:15 |
logc-argp-0.5.0-r1.apk | 17508 | 2025-Jun-13 14:15 |
logc-config-0.5.0-r1.apk | 5335 | 2025-Jun-13 14:15 |
logc-czmq-0.1.0-r0.apk | 4195 | 2024-Oct-25 20:49 |
logc-dev-0.5.0-r1.apk | 8530 | 2025-Jun-13 14:15 |
logc-libevent-0.1.0-r0.apk | 3514 | 2024-Oct-25 20:49 |
logc-libs-0.1.0-r0.apk | 1221 | 2024-Oct-25 20:49 |
logc-libs-dev-0.1.0-r0.apk | 5747 | 2024-Oct-25 20:49 |
logtop-0.7-r1.apk | 15794 | 2025-Aug-09 12:15 |
logtop-doc-0.7-r1.apk | 2650 | 2025-Aug-09 12:15 |
logtop-libs-0.7-r1.apk | 16934 | 2025-Aug-09 12:15 |
logwatch-7.10-r1.apk | 494803 | 2024-Oct-25 20:49 |
logwatch-doc-7.10-r1.apk | 38746 | 2024-Oct-25 20:49 |
lol-html-1.1.1-r1.apk | 483531 | 2024-Oct-25 20:49 |
lol-html-dev-1.1.1-r1.apk | 6406 | 2024-Oct-25 20:49 |
lolcat-1.4-r0.apk | 11199 | 2024-Oct-25 20:49 |
lomiri-0.5.0-r0.apk | 4160074 | 2025-May-14 17:29 |
lomiri-action-api-1.2.0-r0.apk | 85591 | 2025-Apr-11 05:48 |
lomiri-action-api-dev-1.2.0-r0.apk | 4817 | 2025-Apr-11 05:48 |
lomiri-api-0.2.2-r1.apk | 35110 | 2025-Feb-18 22:21 |
lomiri-api-dev-0.2.2-r1.apk | 32572 | 2025-Feb-18 22:21 |
lomiri-app-launch-0.1.12-r1.apk | 378239 | 2025-Jun-28 17:19 |
lomiri-app-launch-dev-0.1.12-r1.apk | 20283 | 2025-Jun-28 17:19 |
lomiri-calculator-app-4.1.0-r0.apk | 391024 | 2025-Aug-19 20:13 |
lomiri-calculator-app-lang-4.1.0-r0.apk | 42201 | 2025-Aug-19 20:13 |
lomiri-clock-app-4.1.1-r0.apk | 230628 | 2025-May-14 17:29 |
lomiri-clock-app-lang-4.1.1-r0.apk | 466199 | 2025-May-14 17:29 |
lomiri-content-hub-2.1.0-r0.apk | 295105 | 2025-Apr-13 22:15 |
lomiri-content-hub-dev-2.1.0-r0.apk | 11216 | 2025-Apr-13 22:15 |
lomiri-content-hub-doc-2.1.0-r0.apk | 1448283 | 2025-Apr-13 22:15 |
lomiri-content-hub-lang-2.1.0-r0.apk | 47507 | 2025-Apr-13 22:15 |
lomiri-download-manager-0.2.1-r0.apk | 627865 | 2025-Apr-13 23:35 |
lomiri-download-manager-dev-0.2.1-r0.apk | 17061 | 2025-Apr-13 23:35 |
lomiri-download-manager-doc-0.2.1-r0.apk | 3567970 | 2025-Apr-13 23:35 |
lomiri-download-manager-lang-0.2.1-r0.apk | 30006 | 2025-Apr-13 23:35 |
lomiri-filemanager-app-1.1.4-r0.apk | 352938 | 2025-Aug-19 20:13 |
lomiri-filemanager-app-lang-1.1.4-r0.apk | 183701 | 2025-Aug-19 20:13 |
lomiri-gallery-app-3.0.2-r2.apk | 3899679 | 2025-Aug-19 11:02 |
lomiri-gallery-app-lang-3.0.2-r2.apk | 108532 | 2025-Aug-19 11:02 |
lomiri-history-service-0.6-r12.apk | 378307 | 2025-Aug-29 08:36 |
lomiri-history-service-dev-0.6-r12.apk | 11117 | 2025-Aug-29 08:36 |
lomiri-indicator-location-25.4.22-r0.apk | 31139 | 2025-May-19 12:46 |
lomiri-indicator-location-lang-25.4.22-r0.apk | 27627 | 2025-May-19 12:46 |
lomiri-indicator-network-1.1.1-r0.apk | 644154 | 2025-May-14 17:29 |
lomiri-indicator-network-dev-1.1.1-r0.apk | 9494 | 2025-May-14 17:29 |
lomiri-indicator-network-doc-1.1.1-r0.apk | 1839 | 2025-May-14 17:29 |
lomiri-indicator-network-lang-1.1.1-r0.apk | 200027 | 2025-May-14 17:29 |
lomiri-lang-0.5.0-r0.apk | 275505 | 2025-May-14 17:29 |
lomiri-libusermetrics-1.3.3-r1.apk | 185850 | 2025-Feb-18 22:21 |
lomiri-libusermetrics-dev-1.3.3-r1.apk | 7718 | 2025-Feb-18 22:21 |
lomiri-libusermetrics-doc-1.3.3-r1.apk | 232828 | 2025-Feb-18 22:21 |
lomiri-libusermetrics-lang-1.3.3-r1.apk | 43950 | 2025-Feb-18 22:21 |
lomiri-location-service-3.3.0-r4.apk | 2281425 | 2025-Jun-26 14:11 |
lomiri-location-service-dev-3.3.0-r4.apk | 30291 | 2025-Jun-26 14:11 |
lomiri-location-service-doc-3.3.0-r4.apk | 2677 | 2025-Jun-26 14:11 |
lomiri-location-service-lang-3.3.0-r4.apk | 26147 | 2025-Jun-26 14:11 |
lomiri-notifications-1.3.1-r0.apk | 101252 | 2025-Jan-10 10:22 |
lomiri-schemas-0.1.8-r1.apk | 10367 | 2025-Jun-28 17:19 |
lomiri-settings-components-1.1.2-r0.apk | 227699 | 2025-Jan-10 10:22 |
lomiri-settings-components-lang-1.1.2-r0.apk | 99885 | 2025-Jan-10 10:22 |
lomiri-sounds-25.01-r0.apk | 18837516 | 2025-Aug-19 20:13 |
lomiri-system-settings-1.3.2-r0.apk | 1129028 | 2025-May-14 17:29 |
lomiri-system-settings-lang-1.3.2-r0.apk | 866264 | 2025-May-14 17:29 |
lomiri-telephony-service-0.6.1-r7.apk | 1046631 | 2025-Aug-29 08:36 |
lomiri-telephony-service-lang-0.6.1-r7.apk | 109911 | 2025-Aug-29 08:36 |
lomiri-terminal-app-2.0.5-r0.apk | 65449 | 2025-May-14 17:29 |
lomiri-terminal-app-doc-2.0.5-r0.apk | 2463 | 2025-May-14 17:29 |
lomiri-terminal-app-lang-2.0.5-r0.apk | 93414 | 2025-May-14 17:29 |
lomiri-thumbnailer-3.0.4-r2.apk | 234066 | 2025-Feb-18 22:21 |
lomiri-thumbnailer-dev-3.0.4-r2.apk | 4905 | 2025-Feb-18 22:21 |
lomiri-thumbnailer-doc-3.0.4-r2.apk | 1248 | 2025-Feb-18 22:21 |
lomiri-trust-store-2.0.2-r10.apk | 1027840 | 2025-Jun-28 17:19 |
lomiri-trust-store-dev-2.0.2-r10.apk | 9079 | 2025-Jun-28 17:19 |
lomiri-trust-store-lang-2.0.2-r10.apk | 28105 | 2025-Jun-28 17:19 |
lomiri-ui-extras-0.7.0-r0.apk | 271391 | 2025-Apr-11 05:48 |
lomiri-ui-extras-lang-0.7.0-r0.apk | 52591 | 2025-Apr-11 05:48 |
lomiri-ui-toolkit-1.3.5110-r1.apk | 1400460 | 2025-Jun-28 17:19 |
lomiri-ui-toolkit-dev-1.3.5110-r1.apk | 171538 | 2025-Jun-28 17:19 |
lomiri-ui-toolkit-lang-1.3.5110-r1.apk | 98557 | 2025-Jun-28 17:19 |
lomiri-url-dispatcher-0.1.4-r0.apk | 46592 | 2025-May-14 17:29 |
lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3124 | 2025-May-14 17:29 |
lomiri-url-dispatcher-lang-0.1.4-r0.apk | 26294 | 2025-May-14 17:29 |
lotide-0.15.0-r0.apk | 3742433 | 2024-Oct-25 20:49 |
lotide-openrc-0.15.0-r0.apk | 2926 | 2024-Oct-25 20:49 |
lout-3.42.2-r0.apk | 1434068 | 2024-Oct-25 20:49 |
lout-doc-3.42.2-r0.apk | 463123 | 2024-Oct-25 20:49 |
lrcalc-2.1-r1.apk | 13077 | 2024-Oct-25 20:49 |
lrcalc-dev-2.1-r1.apk | 11338 | 2024-Oct-25 20:49 |
lrcalc-libs-2.1-r1.apk | 30312 | 2024-Oct-25 20:49 |
lsdvd-0.17-r0.apk | 14169 | 2024-Oct-25 20:49 |
lsdvd-doc-0.17-r0.apk | 2260 | 2024-Oct-25 20:49 |
lshell-0.9.18-r11.apk | 37064 | 2024-Oct-25 20:49 |
lshell-doc-0.9.18-r11.apk | 25535 | 2024-Oct-25 20:49 |
lshell-pyc-0.9.18-r11.apk | 35899 | 2024-Oct-25 20:49 |
lsix-1.8.2-r0.apk | 6400 | 2024-Oct-25 20:49 |
lsmash-2.14.5-r2.apk | 302455 | 2024-Oct-25 20:49 |
lsmash-dev-2.14.5-r2.apk | 417436 | 2024-Oct-25 20:49 |
lua-editorconfig-0.3.0-r0.apk | 1227 | 2024-Oct-25 20:49 |
lua-fn-0.1.0-r0.apk | 3461 | 2024-Oct-25 20:49 |
lua-inet-0.2.0-r1.apk | 9084 | 2024-Oct-25 20:49 |
lua-lanes-3.16.0-r1.apk | 1213 | 2024-Oct-25 20:49 |
lua-lcurses-9.0.0-r0.apk | 1172 | 2024-Oct-25 20:49 |
lua-libmodbus-0.6.1-r0.apk | 1212 | 2024-Oct-25 20:49 |
lua-libmodbus-doc-0.6.1-r0.apk | 19564 | 2024-Oct-25 20:49 |
lua-linenoise-0.9-r1.apk | 1201 | 2024-Oct-25 20:49 |
lua-luastatic-0.0.12-r1.apk | 1232 | 2024-Oct-25 20:49 |
lua-lupa-1.0-r0.apk | 20041 | 2024-Oct-25 20:49 |
lua-lut-1.2.1-r0.apk | 92059 | 2024-Oct-25 20:49 |
lua-psl-0.3-r0.apk | 1129 | 2024-Oct-25 20:49 |
lua-resty-redis-0.29-r0.apk | 5184 | 2024-Oct-25 20:49 |
lua-resty-upload-0.11-r0.apk | 3437 | 2024-Oct-25 20:49 |
lua-xml-1.1.3-r2.apk | 1201 | 2024-Oct-25 20:49 |
lua5.1-lanes-3.16.0-r1.apk | 59196 | 2024-Oct-25 20:49 |
lua5.1-lcurses-9.0.0-r0.apk | 25922 | 2024-Oct-25 20:49 |
lua5.1-libguestfs-1.56.1-r0.apk | 94269 | 2025-Jul-22 22:10 |
lua5.1-libmodbus-0.6.1-r0.apk | 11334 | 2024-Oct-25 20:49 |
lua5.1-linenoise-0.9-r1.apk | 18986 | 2024-Oct-25 20:49 |
lua5.1-luacov-0.15.0-r0.apk | 23579 | 2024-Oct-25 20:49 |
lua5.1-luacov-html-1.0.0-r1.apk | 422638 | 2024-Oct-25 20:49 |
lua5.1-luastatic-0.0.12-r1.apk | 88263 | 2024-Oct-25 20:49 |
lua5.1-psl-0.3-r0.apk | 6927 | 2024-Oct-25 20:49 |
lua5.1-ubus-2025.05.16-r0.apk | 9958 | 2025-Aug-11 20:11 |
lua5.1-xml-1.1.3-r2.apk | 24232 | 2024-Oct-25 20:49 |
lua5.2-editorconfig-0.3.0-r0.apk | 5054 | 2024-Oct-25 20:49 |
lua5.2-lanes-3.16.0-r1.apk | 58837 | 2024-Oct-25 20:49 |
lua5.2-libmodbus-0.6.1-r0.apk | 11320 | 2024-Oct-25 20:49 |
lua5.2-linenoise-0.9-r1.apk | 18983 | 2024-Oct-25 20:49 |
lua5.2-luacov-0.15.0-r0.apk | 23575 | 2024-Oct-25 20:49 |
lua5.2-luacov-html-1.0.0-r1.apk | 422659 | 2024-Oct-25 20:49 |
lua5.2-luastatic-0.0.12-r1.apk | 9164 | 2024-Oct-25 20:49 |
lua5.2-psl-0.3-r0.apk | 6827 | 2024-Oct-25 20:49 |
lua5.2-ubus-2025.05.16-r0.apk | 9957 | 2025-Aug-11 20:11 |
lua5.2-xml-1.1.3-r2.apk | 23983 | 2024-Oct-25 20:49 |
lua5.3-editorconfig-0.3.0-r0.apk | 5135 | 2024-Oct-25 20:49 |
lua5.3-lanes-3.16.0-r1.apk | 59326 | 2024-Oct-25 20:49 |
lua5.3-linenoise-0.9-r1.apk | 18977 | 2024-Oct-25 20:49 |
lua5.3-luacov-0.15.0-r0.apk | 23580 | 2024-Oct-25 20:49 |
lua5.3-luacov-html-1.0.0-r1.apk | 422669 | 2024-Oct-25 20:49 |
lua5.3-luastatic-0.0.12-r1.apk | 9175 | 2024-Oct-25 20:49 |
lua5.3-psl-0.3-r0.apk | 6871 | 2024-Oct-25 20:49 |
lua5.4-editorconfig-0.3.0-r0.apk | 5133 | 2024-Oct-25 20:49 |
lua5.4-lanes-3.16.0-r1.apk | 59032 | 2024-Oct-25 20:49 |
lua5.4-linenoise-0.9-r1.apk | 18980 | 2024-Oct-25 20:49 |
lua5.4-luacov-0.15.0-r0.apk | 23583 | 2024-Oct-25 20:49 |
lua5.4-luastatic-0.0.12-r1.apk | 9250 | 2024-Oct-25 20:49 |
luacov-0.15.0-r0.apk | 1216 | 2024-Oct-25 20:49 |
luacov-html-1.0.0-r1.apk | 1244 | 2024-Oct-25 20:49 |
luapak-0.1.0_beta5-r0.apk | 35592 | 2024-Oct-25 20:49 |
luksmeta-9-r0.apk | 15281 | 2024-Oct-25 20:49 |
luksmeta-dev-9-r0.apk | 3171 | 2024-Oct-25 20:49 |
luksmeta-doc-9-r0.apk | 5616 | 2024-Oct-25 20:49 |
lumina-desktop-1.6.2-r0.apk | 1268 | 2024-Oct-25 20:49 |
lumina-desktop-archiver-1.6.2-r0.apk | 170309 | 2024-Oct-25 20:49 |
lumina-desktop-core-1.6.2-r0.apk | 9406494 | 2024-Oct-25 20:49 |
lumina-desktop-coreutils-1.6.2-r0.apk | 845047 | 2024-Oct-25 20:49 |
lumina-desktop-doc-1.6.2-r0.apk | 11780 | 2024-Oct-25 20:49 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 163777 | 2024-Oct-25 20:49 |
lumina-desktop-fm-1.6.2-r0.apk | 402974 | 2024-Oct-25 20:49 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 204507 | 2024-Oct-25 20:49 |
lumina-desktop-photo-1.6.2-r0.apk | 129431 | 2024-Oct-25 20:49 |
lumina-desktop-screenshot-1.6.2-r0.apk | 173175 | 2024-Oct-25 20:49 |
lumina-desktop-sudo-1.6.2-r0.apk | 99013 | 2024-Oct-25 20:49 |
lumina-desktop-textedit-1.6.2-r0.apk | 198642 | 2024-Oct-25 20:49 |
lumins-0.4.0-r2.apk | 705176 | 2024-Oct-25 20:49 |
lutgen-1.0.0-r0.apk | 1999930 | 2025-Jul-21 20:39 |
lutgen-bash-completion-1.0.0-r0.apk | 1515 | 2025-Jul-21 20:39 |
lutgen-doc-1.0.0-r0.apk | 4308 | 2025-Jul-21 20:39 |
lutgen-fish-completion-1.0.0-r0.apk | 1565 | 2025-Jul-21 20:39 |
lutgen-zsh-completion-1.0.0-r0.apk | 1486 | 2025-Jul-21 20:39 |
lutris-0.5.19-r0.apk | 838571 | 2025-May-26 17:46 |
lutris-doc-0.5.19-r0.apk | 2137 | 2025-May-26 17:46 |
lutris-lang-0.5.19-r0.apk | 829654 | 2025-May-26 17:46 |
lutris-pyc-0.5.19-r0.apk | 1186999 | 2025-May-26 17:46 |
lv_font_conv-1.5.3-r0.apk | 1181475 | 2025-Aug-19 19:54 |
lv_font_conv-doc-1.5.3-r0.apk | 4827 | 2025-Aug-19 19:54 |
lxappearance-0.6.3-r3.apk | 30683 | 2024-Oct-25 20:49 |
lxappearance-dev-0.6.3-r3.apk | 3050 | 2024-Oct-25 20:49 |
lxappearance-doc-0.6.3-r3.apk | 2410 | 2024-Oct-25 20:49 |
lxappearance-lang-0.6.3-r3.apk | 82164 | 2024-Oct-25 20:49 |
lxd-5.0.3-r13.apk | 14061917 | 2025-Aug-08 16:55 |
lxd-bash-completion-5.0.3-r13.apk | 4912 | 2025-Aug-08 16:55 |
lxd-client-5.0.3-r13.apk | 6088170 | 2025-Aug-08 16:55 |
lxd-feature-5.20-r13.apk | 66850979 | 2025-Aug-08 16:55 |
lxd-feature-bash-completion-5.20-r13.apk | 4983 | 2025-Aug-08 16:55 |
lxd-feature-doc-5.20-r13.apk | 1446 | 2025-Aug-08 16:55 |
lxd-feature-openrc-5.20-r13.apk | 2213 | 2025-Aug-08 16:55 |
lxd-feature-scripts-5.20-r13.apk | 1915 | 2025-Aug-08 16:55 |
lxd-openrc-5.0.3-r13.apk | 2334 | 2025-Aug-08 16:55 |
lxd-scripts-5.0.3-r13.apk | 25343200 | 2025-Aug-08 16:55 |
lxd-vm-5.0.3-r13.apk | 1099 | 2025-Aug-08 16:55 |
lxqt-wayland-session-0.2.1-r0.apk | 344153 | 2025-Jul-31 21:23 |
lxqt-wayland-session-doc-0.2.1-r0.apk | 29272 | 2025-Jul-31 21:23 |
lychee-0.19.1-r0.apk | 3147435 | 2025-Jun-17 08:02 |
lychee-doc-0.19.1-r0.apk | 12961 | 2025-Jun-17 08:02 |
lynis-3.1.4-r0.apk | 282029 | 2025-Jul-29 08:16 |
lynis-bash-completion-3.1.4-r0.apk | 2775 | 2025-Jul-29 08:16 |
lynis-doc-3.1.4-r0.apk | 51138 | 2025-Jul-29 08:16 |
lyrics-in-terminal-1.7.0-r0.apk | 38711 | 2025-Jan-03 10:10 |
lzfse-1.0-r0.apk | 20940 | 2024-Oct-25 20:49 |
lzfse-dev-1.0-r0.apk | 3506 | 2024-Oct-25 20:49 |
m2r2-0.3.3-r3.apk | 12536 | 2024-Oct-25 20:49 |
m2r2-pyc-0.3.3-r3.apk | 15712 | 2024-Oct-25 20:49 |
ma1sd-2.5.0-r3.apk | 39969869 | 2024-Oct-25 20:50 |
ma1sd-openrc-2.5.0-r3.apk | 1737 | 2024-Oct-25 20:50 |
macchina-6.4.0-r0.apk | 1074929 | 2025-Jun-25 03:45 |
macchina-doc-6.4.0-r0.apk | 5531 | 2025-Jun-25 03:45 |
mage-1.13.0-r23.apk | 1607486 | 2025-May-14 17:29 |
maildir2rss-0.0.7-r7.apk | 3614563 | 2025-Aug-08 16:55 |
mailsec-check-0_git20210729-r28.apk | 2608789 | 2025-Aug-08 16:55 |
makeclapman-2.4.4-r7.apk | 1312046 | 2025-Aug-08 16:55 |
makeclapman-doc-2.4.4-r7.apk | 3981 | 2025-Aug-08 16:55 |
makedumpfile-1.7.7-r0.apk | 175478 | 2025-Apr-22 14:33 |
makedumpfile-doc-1.7.7-r0.apk | 23968 | 2025-Apr-22 14:33 |
makedumpfile-openrc-1.7.7-r0.apk | 2736 | 2025-Apr-22 14:33 |
makeself-2.5.0-r0.apk | 13042 | 2024-Oct-25 20:50 |
malcontent-0.12.0-r0.apk | 157032 | 2025-May-08 12:40 |
malcontent-dev-0.12.0-r0.apk | 24221 | 2025-May-08 12:40 |
malcontent-doc-0.12.0-r0.apk | 45559 | 2025-May-08 12:40 |
mame-0.251-r0.apk | 104538208 | 2024-Oct-25 20:50 |
mame-arcade-0.251-r0.apk | 73434735 | 2024-Oct-25 20:50 |
mame-common-0.251-r0.apk | 2453 | 2024-Oct-25 20:50 |
mame-data-0.251-r0.apk | 20048863 | 2024-Oct-25 20:50 |
mame-doc-0.251-r0.apk | 24372 | 2024-Oct-25 20:50 |
mame-lang-0.251-r0.apk | 1495178 | 2024-Oct-25 20:50 |
mame-mess-0.251-r0.apk | 56913741 | 2024-Oct-25 20:50 |
mame-plugins-0.251-r0.apk | 170054 | 2024-Oct-25 20:50 |
mame-tools-0.251-r0.apk | 3059926 | 2024-Oct-25 20:50 |
mangal-4.0.6-r20.apk | 10444278 | 2025-Aug-08 16:55 |
mangal-bash-completion-4.0.6-r20.apk | 4844 | 2025-Aug-08 16:55 |
mangal-fish-completion-4.0.6-r20.apk | 3744 | 2025-Aug-08 16:55 |
mangal-zsh-completion-4.0.6-r20.apk | 3831 | 2025-Aug-08 16:55 |
mangr0ve-0.1.2-r0.apk | 2607 | 2024-Oct-25 20:50 |
mangr0ve-doc-0.1.2-r0.apk | 14502 | 2024-Oct-25 20:50 |
manifest-tool-2.2.0-r3.apk | 3952347 | 2025-Aug-08 16:55 |
mapnik-4.0.6-r1.apk | 12220454 | 2025-Jun-10 10:39 |
mapnik-dev-4.0.6-r1.apk | 496925 | 2025-Jun-10 10:39 |
mapnik-doc-4.0.6-r1.apk | 144764 | 2025-Jun-10 10:39 |
mapserver-8.4.0-r2.apk | 1431636 | 2025-Jun-10 10:39 |
mapserver-dev-8.4.0-r2.apk | 552568 | 2025-Jun-10 10:39 |
marxan-4.0.7-r1.apk | 625177 | 2024-Oct-25 20:50 |
masky-0.2.0-r2.apk | 283253 | 2025-May-29 12:09 |
masky-pyc-0.2.0-r2.apk | 64919 | 2025-May-29 12:09 |
mat2-0.13.4-r3.apk | 35978 | 2024-Oct-25 20:50 |
mat2-doc-0.13.4-r3.apk | 7659 | 2024-Oct-25 20:50 |
mat2-pyc-0.13.4-r3.apk | 54895 | 2024-Oct-25 20:50 |
materia-20210322-r3.apk | 1444 | 2025-Jul-10 16:38 |
materia-chromium-20210322-r3.apk | 5554 | 2025-Jul-10 16:38 |
materia-compact-20210322-r3.apk | 1464 | 2025-Jul-10 16:38 |
materia-compact-chromium-20210322-r3.apk | 5567 | 2025-Jul-10 16:38 |
materia-compact-gnome-shell-20210322-r3.apk | 29743 | 2025-Jul-10 16:38 |
materia-compact-gtk2-20210322-r3.apk | 35154 | 2025-Jul-10 16:38 |
materia-compact-gtk3-20210322-r3.apk | 64150 | 2025-Jul-10 16:38 |
materia-compact-gtk4-20210322-r3.apk | 43326 | 2025-Jul-10 16:38 |
materia-dark-20210322-r3.apk | 1460 | 2025-Jul-10 16:38 |
materia-dark-chromium-20210322-r3.apk | 5573 | 2025-Jul-10 16:38 |
materia-dark-compact-20210322-r3.apk | 1477 | 2025-Jul-10 16:38 |
materia-dark-compact-chromium-20210322-r3.apk | 5590 | 2025-Jul-10 16:38 |
materia-dark-compact-gnome-shell-20210322-r3.apk | 29732 | 2025-Jul-10 16:38 |
materia-dark-compact-gtk2-20210322-r3.apk | 35075 | 2025-Jul-10 16:38 |
materia-dark-compact-gtk3-20210322-r3.apk | 40281 | 2025-Jul-10 16:38 |
materia-dark-compact-gtk4-20210322-r3.apk | 29843 | 2025-Jul-10 16:38 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1236 | 2024-Oct-25 20:50 |
materia-dark-gnome-shell-20210322-r3.apk | 29638 | 2025-Jul-10 16:38 |
materia-dark-gtk2-20210322-r3.apk | 35051 | 2025-Jul-10 16:38 |
materia-dark-gtk3-20210322-r3.apk | 40294 | 2025-Jul-10 16:38 |
materia-dark-gtk4-20210322-r3.apk | 29848 | 2025-Jul-10 16:38 |
materia-dark-kde-konsole-20220823-r0.apk | 1622 | 2024-Oct-25 20:50 |
materia-dark-kde-kvantum-20220823-r0.apk | 30450 | 2024-Oct-25 20:50 |
materia-dark-kde-plasma-20220823-r0.apk | 514737 | 2024-Oct-25 20:50 |
materia-dark-kde-yakuake-20220823-r0.apk | 21983 | 2024-Oct-25 20:50 |
materia-gnome-shell-20210322-r3.apk | 29641 | 2025-Jul-10 16:38 |
materia-gtk-theme-20210322-r3.apk | 2660 | 2025-Jul-10 16:38 |
materia-gtk2-20210322-r3.apk | 35125 | 2025-Jul-10 16:38 |
materia-gtk3-20210322-r3.apk | 64274 | 2025-Jul-10 16:38 |
materia-gtk4-20210322-r3.apk | 43434 | 2025-Jul-10 16:38 |
materia-kde-20220823-r0.apk | 19535 | 2024-Oct-25 20:50 |
materia-kde-konsole-20220823-r0.apk | 1607 | 2024-Oct-25 20:50 |
materia-kde-kvantum-20220823-r0.apk | 30405 | 2024-Oct-25 20:50 |
materia-kde-plasma-20220823-r0.apk | 1782675 | 2024-Oct-25 20:50 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1238 | 2024-Oct-25 20:50 |
materia-light-kde-kvantum-20220823-r0.apk | 30042 | 2024-Oct-25 20:50 |
materia-light-kde-plasma-20220823-r0.apk | 20327 | 2024-Oct-25 20:50 |
materia-light-kde-yakuake-20220823-r0.apk | 21745 | 2024-Oct-25 20:50 |
maxima-5.48.1-r9.apk | 25946392 | 2025-Aug-22 10:31 |
maxima-bash-completion-5.48.1-r9.apk | 2121 | 2025-Aug-22 10:31 |
maxima-doc-5.48.1-r9.apk | 865720 | 2025-Aug-22 10:31 |
maxima-doc-extra-5.48.1-r9.apk | 10116057 | 2025-Aug-22 10:31 |
maxima-emacs-5.48.1-r9.apk | 113147 | 2025-Aug-22 10:31 |
mbrola-3.3-r0.apk | 24421 | 2024-Oct-25 20:50 |
mcjoin-2.11-r0.apk | 27442 | 2024-Oct-25 20:50 |
mcjoin-doc-2.11-r0.apk | 55021 | 2024-Oct-25 20:50 |
mcqd-1.0.0-r1.apk | 15572 | 2024-Oct-25 20:50 |
mcqd-dev-1.0.0-r1.apk | 3878 | 2024-Oct-25 20:50 |
md5ha1-0_git20171202-r1.apk | 9115 | 2024-Oct-25 20:50 |
mdbook-admonish-1.20.0-r0.apk | 1115575 | 2025-Jun-16 22:23 |
mdbook-alerts-0.7.0-r0.apk | 796024 | 2025-Jan-11 13:04 |
mdbook-katex-0.9.4-r0.apk | 1236879 | 2025-May-17 21:53 |
mdbook-linkcheck-0.7.7-r0.apk | 2898428 | 2025-May-16 19:17 |
mdbook-mermaid-0.15.0-r0.apk | 1757283 | 2025-May-17 21:53 |
mdbook-plantuml-0.8.0-r0.apk | 981112 | 2024-Oct-25 20:50 |
mdcat-2.7.1-r0.apk | 3328426 | 2024-Dec-14 18:05 |
mdcat-bash-completion-2.7.1-r0.apk | 1990 | 2024-Dec-14 18:05 |
mdcat-doc-2.7.1-r0.apk | 5918 | 2024-Dec-14 18:05 |
mdcat-fish-completion-2.7.1-r0.apk | 1924 | 2024-Dec-14 18:05 |
mdcat-zsh-completion-2.7.1-r0.apk | 2240 | 2024-Dec-14 18:05 |
mdnsd-0.12-r1.apk | 25040 | 2024-Oct-25 20:50 |
mdnsd-doc-0.12-r1.apk | 14437 | 2024-Oct-25 20:50 |
mdnsd-libs-0.12-r1.apk | 19167 | 2024-Oct-25 20:50 |
mdnsd-openrc-0.12-r1.apk | 1916 | 2024-Oct-25 20:50 |
mdnsd-static-0.12-r1.apk | 20422 | 2024-Oct-25 20:50 |
mdp-1.0.18-r0.apk | 17784 | 2025-Jul-09 21:13 |
mdp-doc-1.0.18-r0.apk | 3701 | 2025-Jul-09 21:13 |
mediascanner2-0.118-r3.apk | 288553 | 2025-Aug-19 20:13 |
mediastreamer2-5.3.100-r1.apk | 394535 | 2025-Jun-01 15:45 |
mediastreamer2-dev-5.3.100-r1.apk | 112029 | 2025-Jun-01 15:45 |
mediastreamer2-doc-5.3.100-r1.apk | 110030 | 2025-Jun-01 15:45 |
mediastreamer2-plugin-openh264-5.2.0_git20231020..> | 12436 | 2025-Mar-06 22:31 |
mediastreamer2-plugin-x264-20200722-r6.apk | 9007 | 2024-Oct-25 20:50 |
meep-1.31.0-r1.apk | 676417 | 2025-Aug-19 13:15 |
meep-dev-1.31.0-r1.apk | 561029 | 2025-Aug-19 13:15 |
megatools-1.11.5.20250706-r0.apk | 65165 | 2025-Jul-30 17:06 |
megatools-bash-completion-1.11.5.20250706-r0.apk | 3929 | 2025-Jul-30 17:06 |
megatools-doc-1.11.5.20250706-r0.apk | 53136 | 2025-Jul-30 17:06 |
megazeux-2.93d-r0.apk | 1703180 | 2025-Jun-10 13:47 |
megazeux-doc-2.93d-r0.apk | 476186 | 2025-Jun-10 13:47 |
melange-0.31.0-r0.apk | 12095545 | 2025-Aug-27 11:16 |
melange-bash-completion-0.31.0-r0.apk | 6507 | 2025-Aug-27 11:16 |
melange-fish-completion-0.31.0-r0.apk | 4167 | 2025-Aug-27 11:16 |
melange-zsh-completion-0.31.0-r0.apk | 3875 | 2025-Aug-27 11:16 |
meli-0.8.11-r0.apk | 5295361 | 2025-May-04 14:18 |
meli-doc-0.8.11-r0.apk | 49332 | 2025-May-04 14:18 |
memdump-1.01-r1.apk | 5940 | 2024-Oct-25 20:50 |
memdump-doc-1.01-r1.apk | 2920 | 2024-Oct-25 20:50 |
menumaker-0.99.14-r1.apk | 113687 | 2024-Oct-25 20:50 |
merge-usr-0_git20250703-r1.apk | 9752 | 2025-Jul-26 21:55 |
mergerfs-2.40.2-r1.apk | 298805 | 2024-Oct-25 20:50 |
mergerfs-doc-2.40.2-r1.apk | 42562 | 2024-Oct-25 20:50 |
merlin-4.14-r0.apk | 15299006 | 2024-Oct-25 20:50 |
merlin-dev-4.14-r0.apk | 24166609 | 2024-Oct-25 20:50 |
merlin-emacs-4.14-r0.apk | 28947 | 2024-Oct-25 20:50 |
merlin-vim-4.14-r0.apk | 28313 | 2024-Oct-25 20:50 |
meson-tools-0.1-r2.apk | 11782 | 2024-Dec-09 16:38 |
meson-tools-doc-0.1-r2.apk | 8232 | 2024-Dec-09 16:38 |
mesonlsp-4.3.7-r4.apk | 2113064 | 2025-Jun-19 05:36 |
metadata-cleaner-2.5.6-r0.apk | 50033 | 2025-Jan-31 15:22 |
metadata-cleaner-doc-2.5.6-r0.apk | 1993809 | 2025-Jan-31 15:22 |
metadata-cleaner-lang-2.5.6-r0.apk | 67225 | 2025-Jan-31 15:22 |
metalang99-1.13.3-r0.apk | 55331 | 2024-Oct-25 20:50 |
metricbeat-8.14.2-r7.apk | 37255275 | 2025-Aug-08 16:55 |
metricbeat-openrc-8.14.2-r7.apk | 1803 | 2025-Aug-08 16:55 |
milkytracker-1.04.00-r2.apk | 1041901 | 2024-Oct-25 20:50 |
milkytracker-doc-1.04.00-r2.apk | 51360 | 2024-Oct-25 20:50 |
mimedefang-3.6-r0.apk | 163737 | 2025-Mar-02 16:14 |
mimedefang-doc-3.6-r0.apk | 82061 | 2025-Mar-02 16:14 |
mimeo-2023-r2.apk | 28562 | 2024-Oct-25 20:50 |
mimeo-pyc-2023-r2.apk | 42283 | 2024-Oct-25 20:50 |
minidyndns-1.3.0-r3.apk | 11922 | 2024-Oct-25 20:50 |
minidyndns-doc-1.3.0-r3.apk | 5231 | 2024-Oct-25 20:50 |
minidyndns-openrc-1.3.0-r3.apk | 1890 | 2024-Oct-25 20:50 |
minigalaxy-1.4.0-r0.apk | 201740 | 2025-Jul-11 03:37 |
minigalaxy-pyc-1.4.0-r0.apk | 137530 | 2025-Jul-11 03:37 |
minimodem-0.24-r1.apk | 21924 | 2024-Oct-25 20:50 |
minimodem-doc-0.24-r1.apk | 5057 | 2024-Oct-25 20:50 |
minisatip-1.3.4-r0.apk | 315576 | 2024-Oct-25 20:50 |
minisatip-openrc-1.3.4-r0.apk | 1678 | 2024-Oct-25 20:50 |
mint-themes-2.3.1-r0.apk | 1931632 | 2025-Aug-06 08:55 |
mint-themes-doc-2.3.1-r0.apk | 14323 | 2025-Aug-06 08:55 |
mint-x-icons-1.7.2-r0.apk | 23566506 | 2024-Dec-24 10:07 |
mint-x-icons-doc-1.7.2-r0.apk | 7289 | 2024-Dec-24 10:07 |
mint-x-theme-2.3.1-r0.apk | 2562 | 2025-Aug-06 08:55 |
mint-x-theme-gtk2-2.3.1-r0.apk | 463298 | 2025-Aug-06 08:55 |
mint-x-theme-gtk3-2.3.1-r0.apk | 611802 | 2025-Aug-06 08:55 |
mint-x-theme-gtk4-2.3.1-r0.apk | 515295 | 2025-Aug-06 08:55 |
mint-x-theme-metacity-2.3.1-r0.apk | 5905 | 2025-Aug-06 08:55 |
mint-x-theme-xfwm4-2.3.1-r0.apk | 31791 | 2025-Aug-06 08:55 |
mint-y-icons-1.8.3-r0.apk | 75791110 | 2025-Feb-05 22:52 |
mint-y-icons-doc-1.8.3-r0.apk | 11261 | 2025-Feb-05 22:52 |
mint-y-theme-2.3.1-r0.apk | 3356 | 2025-Aug-06 08:55 |
mint-y-theme-gtk2-2.3.1-r0.apk | 608824 | 2025-Aug-06 08:55 |
mint-y-theme-gtk3-2.3.1-r0.apk | 2077606 | 2025-Aug-06 08:55 |
mint-y-theme-gtk4-2.3.1-r0.apk | 1742278 | 2025-Aug-06 08:55 |
mint-y-theme-metacity-2.3.1-r0.apk | 55955 | 2025-Aug-06 08:55 |
mint-y-theme-xfwm4-2.3.1-r0.apk | 208025 | 2025-Aug-06 08:55 |
mir-2.21.1-r0.apk | 2384452 | 2025-Jul-12 11:32 |
mir-demos-2.21.1-r0.apk | 157611 | 2025-Jul-12 11:32 |
mir-dev-2.21.1-r0.apk | 8264447 | 2025-Jul-12 11:32 |
mir-test-tools-2.21.1-r0.apk | 293751 | 2025-Jul-12 11:32 |
mirrorhall-0.1.1-r2.apk | 26673 | 2025-Aug-09 12:15 |
mjpg-streamer-0_git20210220-r2.apk | 200476 | 2025-May-14 17:29 |
mkbrr-1.15.0-r0.apk | 4134555 | 2025-Aug-19 20:19 |
mkcert-1.4.4-r21.apk | 1749893 | 2025-Aug-08 16:55 |
mkdocs-bootstrap-1.1.1-r2.apk | 28943 | 2024-Oct-25 20:51 |
mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1588 | 2024-Oct-25 20:51 |
mkdocs-bootstrap386-0.0.2-r5.apk | 809974 | 2024-Oct-25 20:51 |
mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1591 | 2024-Oct-25 20:51 |
mkdocs-bootstrap4-0.1.5-r5.apk | 266031 | 2024-Oct-25 20:51 |
mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1587 | 2024-Oct-25 20:51 |
mkdocs-bootswatch-1.1-r5.apk | 551057 | 2024-Oct-25 20:51 |
mkdocs-bootswatch-pyc-1.1-r5.apk | 4567 | 2024-Oct-25 20:51 |
mkdocs-cinder-1.2.0-r5.apk | 254334 | 2024-Oct-25 20:51 |
mkdocs-cinder-pyc-1.2.0-r5.apk | 1572 | 2024-Oct-25 20:51 |
mkdocs-cluster-0.0.9-r5.apk | 666499 | 2024-Oct-25 20:51 |
mkdocs-cluster-pyc-0.0.9-r5.apk | 1590 | 2024-Oct-25 20:51 |
mkdocs-gitbook-0.0.1-r5.apk | 659328 | 2024-Oct-25 20:51 |
mkdocs-gitbook-pyc-0.0.1-r5.apk | 1590 | 2024-Oct-25 20:51 |
mkdocs-ivory-0.4.6-r5.apk | 10927 | 2024-Oct-25 20:51 |
mkdocs-ivory-pyc-0.4.6-r5.apk | 1580 | 2024-Oct-25 20:51 |
mkdocs-rtd-dropdown-1.0.2-r5.apk | 254068 | 2024-Oct-25 20:51 |
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1589 | 2024-Oct-25 20:51 |
mkdocs-windmill-1.0.5-r4.apk | 966455 | 2024-Oct-25 20:51 |
mkdocs-windmill-pyc-1.0.5-r4.apk | 1586 | 2024-Oct-25 20:51 |
mkg3a-0.5.0-r1.apk | 18061 | 2024-Oct-25 20:51 |
mkg3a-doc-0.5.0-r1.apk | 2868 | 2024-Oct-25 20:51 |
mkosi-25.3-r2.apk | 256679 | 2025-Aug-09 12:15 |
mkosi-doc-25.3-r2.apk | 70243 | 2025-Aug-09 12:15 |
mkosi-pyc-25.3-r2.apk | 402618 | 2025-Aug-09 12:15 |
mktorrent-borg-0.9.9-r1.apk | 11308 | 2024-Oct-25 20:51 |
mktorrent-borg-doc-0.9.9-r1.apk | 2546 | 2024-Oct-25 20:51 |
mlir-next-22.0.0_pre20250830-r0.apk | 22296626 | 2025-Aug-31 17:48 |
mlir-next-dev-22.0.0_pre20250830-r0.apk | 7131651 | 2025-Aug-31 17:48 |
mlir-next-libs-22.0.0_pre20250830-r0.apk | 21329494 | 2025-Aug-31 17:48 |
mlir-next-static-22.0.0_pre20250830-r0.apk | 51088209 | 2025-Aug-31 17:48 |
mlxl-0.1-r0.apk | 6293 | 2024-Oct-25 20:51 |
mm-1.4.2-r1.apk | 7889 | 2024-Oct-25 20:51 |
mm-common-1.0.7-r0.apk | 423842 | 2025-Jun-27 16:40 |
mm-common-doc-1.0.7-r0.apk | 34024 | 2025-Jun-27 16:40 |
mm-dev-1.4.2-r1.apk | 13326 | 2024-Oct-25 20:51 |
mm-doc-1.4.2-r1.apk | 14627 | 2024-Oct-25 20:51 |
mmar-0.2.5-r1.apk | 2603630 | 2025-May-14 17:29 |
mml-1.0.0-r0.apk | 935975 | 2024-Oct-25 20:51 |
mml-bash-completion-1.0.0-r0.apk | 2096 | 2024-Oct-25 20:51 |
mml-doc-1.0.0-r0.apk | 3746 | 2024-Oct-25 20:51 |
mml-fish-completion-1.0.0-r0.apk | 2067 | 2024-Oct-25 20:51 |
mml-zsh-completion-1.0.0-r0.apk | 2643 | 2024-Oct-25 20:51 |
mnamer-2.5.5-r1.apk | 32207 | 2024-Oct-25 20:51 |
mnamer-pyc-2.5.5-r1.apk | 61666 | 2024-Oct-25 20:51 |
mobpass-0.2-r6.apk | 18203 | 2024-Oct-25 20:51 |
mobpass-pyc-0.2-r6.apk | 5103 | 2024-Oct-25 20:51 |
mobroute-0.10.0-r2.apk | 4707820 | 2025-Aug-08 16:55 |
mobroute-doc-0.10.0-r2.apk | 1401383 | 2025-Aug-08 16:55 |
mod_dnssd-0.6-r1.apk | 9168 | 2025-Aug-09 12:15 |
modem-manager-gui-0.0.20-r0.apk | 358460 | 2024-Oct-25 20:51 |
modem-manager-gui-doc-0.0.20-r0.apk | 4130240 | 2024-Oct-25 20:51 |
modem-manager-gui-lang-0.0.20-r0.apk | 132370 | 2024-Oct-25 20:51 |
mods-1.8.1-r0.apk | 10313456 | 2025-Aug-13 01:06 |
mods-doc-1.8.1-r0.apk | 2040 | 2025-Aug-13 01:06 |
moe-1.14-r0.apk | 114571 | 2024-Oct-25 20:51 |
moe-doc-1.14-r0.apk | 19192 | 2024-Oct-25 20:51 |
moka-icon-theme-5.4.0-r2.apk | 119267281 | 2024-Oct-25 20:51 |
monetdb-11.33.11-r4.apk | 2497496 | 2024-Oct-25 20:51 |
monetdb-dev-11.33.11-r4.apk | 78690 | 2024-Oct-25 20:51 |
monetdb-doc-11.33.11-r4.apk | 328366 | 2024-Oct-25 20:51 |
mongo-cxx-driver-3.8.0-r0.apk | 191575 | 2024-Oct-25 20:51 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 90751 | 2024-Oct-25 20:51 |
monopd-0.10.4-r0.apk | 93655 | 2025-Jan-11 11:11 |
monopd-openrc-0.10.4-r0.apk | 1460 | 2025-Jan-11 11:11 |
moon-buggy-1.0.51-r1.apk | 38603 | 2024-Oct-25 20:51 |
moon-buggy-doc-1.0.51-r1.apk | 7037 | 2024-Oct-25 20:51 |
moosefs-4.56.6-r2.apk | 265553 | 2025-Jun-19 08:53 |
moosefs-cgi-4.56.6-r2.apk | 123824 | 2025-Jun-19 08:53 |
moosefs-cgiserv-4.56.6-r2.apk | 7745 | 2025-Jun-19 08:53 |
moosefs-cgiserv-openrc-4.56.6-r2.apk | 1775 | 2025-Jun-19 08:53 |
moosefs-chunkserver-4.56.6-r2.apk | 185689 | 2025-Jun-19 08:53 |
moosefs-chunkserver-openrc-4.56.6-r2.apk | 1454 | 2025-Jun-19 08:53 |
moosefs-client-4.56.6-r2.apk | 644521 | 2025-Jun-19 08:53 |
moosefs-doc-4.56.6-r2.apk | 97193 | 2025-Jun-19 08:53 |
moosefs-master-4.56.6-r2.apk | 376219 | 2025-Jun-19 08:53 |
moosefs-master-openrc-4.56.6-r2.apk | 1442 | 2025-Jun-19 08:53 |
moosefs-metalogger-4.56.6-r2.apk | 42095 | 2025-Jun-19 08:53 |
moosefs-metalogger-openrc-4.56.6-r2.apk | 1447 | 2025-Jun-19 08:53 |
moosefs-static-4.56.6-r2.apk | 717067 | 2025-Jun-19 08:53 |
motion-4.7.0-r1.apk | 143462 | 2025-Aug-27 17:13 |
motion-doc-4.7.0-r1.apk | 142731 | 2025-Aug-27 17:13 |
motion-lang-4.7.0-r1.apk | 481823 | 2025-Aug-27 17:13 |
motion-openrc-4.7.0-r1.apk | 2009 | 2025-Aug-27 17:13 |
mp3val-0.1.8-r1.apk | 13908 | 2024-Oct-25 20:51 |
mpdcron-0.3-r1.apk | 100427 | 2024-Oct-25 20:51 |
mpdcron-dev-0.3-r1.apk | 66108 | 2024-Oct-25 20:51 |
mpdcron-doc-0.3-r1.apk | 13418 | 2024-Oct-25 20:51 |
mpdcron-zsh-completion-0.3-r1.apk | 2687 | 2024-Oct-25 20:51 |
mpdris2-0.9.1-r3.apk | 15549 | 2024-Oct-25 20:51 |
mpdris2-doc-0.9.1-r3.apk | 15225 | 2024-Oct-25 20:51 |
mpdris2-lang-0.9.1-r3.apk | 2392 | 2024-Oct-25 20:51 |
mpv-sponsorblock-2.2.0-r0.apk | 1457236 | 2025-Jun-16 15:17 |
mqtt2prometheus-0.1.7-r18.apk | 4476291 | 2025-Aug-08 16:55 |
mrsh-0_git20210518-r1.apk | 5631 | 2024-Oct-25 20:51 |
mrsh-dbg-0_git20210518-r1.apk | 203173 | 2024-Oct-25 20:51 |
mrsh-dev-0_git20210518-r1.apk | 9961 | 2024-Oct-25 20:51 |
mrsh-libs-0_git20210518-r1.apk | 65353 | 2024-Oct-25 20:51 |
msgpuck-2.0-r1.apk | 1206 | 2024-Oct-25 20:51 |
msgpuck-dev-2.0-r1.apk | 24551 | 2024-Oct-25 20:51 |
msgpuck-doc-2.0-r1.apk | 7499 | 2024-Oct-25 20:51 |
msh-2.5.0-r14.apk | 2917856 | 2025-Aug-08 16:55 |
msh-openrc-2.5.0-r14.apk | 1773 | 2025-Aug-08 16:55 |
mspdebug-0.25-r1.apk | 225125 | 2024-Oct-25 20:51 |
mspdebug-doc-0.25-r1.apk | 14287 | 2024-Oct-25 20:51 |
mtg-2.1.7-r23.apk | 4534522 | 2025-Aug-08 16:55 |
mtg-openrc-2.1.7-r23.apk | 1644 | 2025-Aug-08 16:55 |
murex-7.0.2107-r2.apk | 6212936 | 2025-Aug-08 16:55 |
murex-doc-7.0.2107-r2.apk | 310682 | 2025-Aug-08 16:55 |
muse-4.2.1-r2.apk | 6701984 | 2025-May-14 17:29 |
muse-doc-4.2.1-r2.apk | 4310735 | 2025-May-14 17:29 |
musikcube-3.0.4-r2.apk | 2397108 | 2025-Aug-27 17:13 |
musikcube-dev-3.0.4-r2.apk | 19293 | 2025-Aug-27 17:13 |
musikcube-plugin-all-3.0.4-r2.apk | 1110 | 2025-Aug-27 17:13 |
musikcube-plugin-httpdatastream-3.0.4-r2.apk | 83624 | 2025-Aug-27 17:13 |
musikcube-plugin-mpris-3.0.4-r2.apk | 22454 | 2025-Aug-27 17:13 |
musikcube-plugin-openmpt-3.0.4-r2.apk | 32789 | 2025-Aug-27 17:13 |
musikcube-plugin-server-3.0.4-r2.apk | 407409 | 2025-Aug-27 17:13 |
musikcube-plugin-stockencoders-3.0.4-r2.apk | 21906 | 2025-Aug-27 17:13 |
musikcube-plugin-supereqdsp-3.0.4-r2.apk | 30707 | 2025-Aug-27 17:13 |
musikcube-plugin-taglibreader-3.0.4-r2.apk | 39043 | 2025-Aug-27 17:13 |
mxclient-0_git20211002-r1.apk | 79540 | 2024-Oct-25 20:51 |
n30f-2.0-r3.apk | 7292 | 2024-Oct-25 20:51 |
nano-hare-0_git20231021-r0.apk | 1965 | 2024-Oct-25 20:51 |
nauty-2.9.0-r0.apk | 7051098 | 2025-Jul-18 06:19 |
nauty-dev-2.9.0-r0.apk | 4718356 | 2025-Jul-18 06:19 |
nauty-libs-2.9.0-r0.apk | 3405891 | 2025-Jul-18 06:19 |
nb-7.19.1-r0.apk | 155518 | 2025-May-24 22:12 |
nb-bash-completion-7.19.1-r0.apk | 2792 | 2025-May-24 22:12 |
nb-doc-7.19.1-r0.apk | 79064 | 2025-May-24 22:12 |
nb-fish-completion-7.19.1-r0.apk | 2600 | 2025-May-24 22:12 |
nb-full-7.19.1-r0.apk | 1066 | 2025-May-24 22:12 |
nb-zsh-completion-7.19.1-r0.apk | 2754 | 2025-May-24 22:12 |
nbsdgames-5-r0.apk | 147309 | 2024-Oct-25 20:51 |
nbsdgames-doc-5-r0.apk | 9617 | 2024-Oct-25 20:51 |
ndpi-4.10-r0.apk | 1488722 | 2024-Oct-25 20:51 |
ndpi-dev-4.10-r0.apk | 957934 | 2024-Oct-25 20:51 |
neard-0.19-r0.apk | 139354 | 2024-Oct-25 20:51 |
neard-dev-0.19-r0.apk | 11086 | 2024-Oct-25 20:51 |
neard-doc-0.19-r0.apk | 5472 | 2024-Oct-25 20:51 |
neard-openrc-0.19-r0.apk | 1484 | 2024-Oct-25 20:51 |
neko-2.3.0-r0.apk | 478909 | 2024-Nov-20 22:27 |
neko-dev-2.3.0-r0.apk | 10372 | 2024-Nov-20 22:27 |
neko-doc-2.3.0-r0.apk | 20134 | 2024-Nov-20 22:27 |
nemo-gtkhash-plugin-1.5-r0.apk | 23882 | 2025-Mar-10 19:32 |
neo4j-client-2.2.0-r3.apk | 30880 | 2024-Oct-25 20:51 |
neo4j-client-doc-2.2.0-r3.apk | 5484 | 2024-Oct-25 20:51 |
neocmakelsp-0.8.25-r0.apk | 1820965 | 2025-Aug-29 18:53 |
neocmakelsp-bash-completion-0.8.25-r0.apk | 1767 | 2025-Aug-29 18:53 |
neocmakelsp-doc-0.8.25-r0.apk | 5708 | 2025-Aug-29 18:53 |
neocmakelsp-fish-completion-0.8.25-r0.apk | 1399 | 2025-Aug-29 18:53 |
neocmakelsp-zsh-completion-0.8.25-r0.apk | 1600 | 2025-Aug-29 18:53 |
neofetch-7.1.0-r2.apk | 87448 | 2024-Nov-06 21:47 |
neofetch-doc-7.1.0-r2.apk | 6065 | 2024-Nov-06 21:47 |
nerdlog-1.10.0-r2.apk | 2778277 | 2025-Aug-08 16:55 |
nerdlog-doc-1.10.0-r2.apk | 13465 | 2025-Aug-08 16:55 |
net-predictable-1.5.1-r2.apk | 932869 | 2025-Aug-08 16:55 |
net-predictable-doc-1.5.1-r2.apk | 2030 | 2025-Aug-08 16:55 |
netdiscover-0.21-r0.apk | 469886 | 2025-Aug-15 12:51 |
netdiscover-doc-0.21-r0.apk | 4041 | 2025-Aug-15 12:51 |
netscanner-0.5.1-r1.apk | 3867136 | 2025-Jul-01 18:42 |
netscanner-doc-0.5.1-r1.apk | 3116 | 2025-Jul-01 18:42 |
netsed-1.4-r0.apk | 10456 | 2025-Aug-18 10:16 |
networkmanager-dmenu-2.6.1-r0.apk | 13859 | 2025-Jul-23 08:13 |
networkmanager-dmenu-doc-2.6.1-r0.apk | 6693 | 2025-Jul-23 08:13 |
newsyslog-1.2.0.91-r1.apk | 18153 | 2024-Oct-25 20:51 |
newsyslog-doc-1.2.0.91-r1.apk | 24265 | 2024-Oct-25 20:51 |
nextpnr-0.7-r0.apk | 1216 | 2024-Oct-25 20:51 |
nextpnr-ecp5-0.7-r0.apk | 26707240 | 2024-Oct-25 20:51 |
nextpnr-generic-0.7-r0.apk | 814478 | 2024-Oct-25 20:51 |
nextpnr-gowin-0.7-r0.apk | 1600473 | 2024-Oct-25 20:51 |
nextpnr-ice40-0.7-r0.apk | 71937897 | 2024-Oct-25 20:51 |
nfcd-1.2.2-r1.apk | 346277 | 2025-Aug-09 12:15 |
nfcd-dev-1.2.2-r1.apk | 24420 | 2025-Aug-09 12:15 |
nfoview-2.1-r0.apk | 39335 | 2025-Apr-13 10:30 |
nfoview-doc-2.1-r0.apk | 7931 | 2025-Apr-13 10:30 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1..> | 729562 | 2024-Oct-25 20:51 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.404..> | 21508 | 2024-Oct-25 20:51 |
ngs-0.2.14-r0.apk | 301964 | 2024-Oct-25 20:51 |
ngs-aws-0.2.14-r0.apk | 33465 | 2024-Oct-25 20:51 |
ngs-vim-0.2.14-r0.apk | 5013 | 2024-Oct-25 20:51 |
nicotine-plus-3.3.10-r0.apk | 1634350 | 2025-May-04 02:50 |
nicotine-plus-doc-3.3.10-r0.apk | 2377 | 2025-May-04 02:50 |
nicotine-plus-lang-3.3.10-r0.apk | 774949 | 2025-May-04 02:50 |
nicotine-plus-pyc-3.3.10-r0.apk | 807526 | 2025-May-04 02:50 |
nitro-2.7_beta8-r2.apk | 540692 | 2024-Oct-25 20:51 |
nitro-dev-2.7_beta8-r2.apk | 194271 | 2024-Oct-25 20:51 |
nitrocli-0.4.1-r3.apk | 472562 | 2024-Oct-25 20:51 |
nitrocli-bash-completion-0.4.1-r3.apk | 3051 | 2024-Oct-25 20:51 |
nitrocli-doc-0.4.1-r3.apk | 8772 | 2024-Oct-25 20:51 |
nkk-0_git20221010-r0.apk | 16011 | 2024-Oct-25 20:51 |
nkk-dev-0_git20221010-r0.apk | 2707 | 2024-Oct-25 20:51 |
nkk-doc-0_git20221010-r0.apk | 6875 | 2024-Oct-25 20:51 |
nlopt-2.10.0-r1.apk | 201826 | 2025-Jun-05 23:38 |
nlopt-dev-2.10.0-r1.apk | 12018 | 2025-Jun-05 23:38 |
nlopt-doc-2.10.0-r1.apk | 23105 | 2025-Jun-05 23:38 |
nlopt-guile-2.10.0-r1.apk | 43643 | 2025-Jun-05 23:38 |
nm-tray-0.5.0-r0.apk | 104892 | 2024-Oct-25 20:51 |
nm-tray-lang-0.5.0-r0.apk | 27289 | 2024-Oct-25 20:51 |
nmap-parse-output-1.5.1-r1.apk | 20718 | 2025-May-29 12:09 |
nmap-parse-output-bash-completion-1.5.1-r1.apk | 1765 | 2025-May-29 12:09 |
nmap-parse-output-doc-1.5.1-r1.apk | 826809 | 2025-May-29 12:09 |
noblenote-1.2.1-r1.apk | 412665 | 2024-Oct-25 20:51 |
node-libpg-query-13.1.2-r5.apk | 19204 | 2024-Oct-25 20:51 |
noggin-model-0.1-r0.apk | 12575885 | 2024-Oct-25 20:51 |
noggin-model-lightweight-0.1-r0.apk | 1762071 | 2024-Oct-25 20:51 |
noice-0.8-r1.apk | 9961 | 2024-Oct-25 20:51 |
noice-doc-0.8-r1.apk | 3173 | 2024-Oct-25 20:51 |
nom-2.8.0-r5.apk | 7071570 | 2025-Aug-08 16:55 |
nom-doc-2.8.0-r5.apk | 3851 | 2025-Aug-08 16:55 |
nomadnet-0.7.0-r0.apk | 145941 | 2025-May-27 23:25 |
nomadnet-pyc-0.7.0-r0.apk | 290699 | 2025-May-27 23:25 |
normaliz-3.10.4-r2.apk | 44140 | 2025-Jun-13 05:00 |
normaliz-dev-3.10.4-r2.apk | 74039 | 2025-Jun-13 05:00 |
normaliz-libs-3.10.4-r2.apk | 3028295 | 2025-Jun-13 05:00 |
notification-daemon-3.20.0-r1.apk | 62806 | 2025-Jul-01 23:20 |
nrf5-sdk-17.1.0-r0.apk | 49236755 | 2025-Aug-19 19:54 |
nrf5-sdk-doc-17.1.0-r0.apk | 3449 | 2025-Aug-19 19:54 |
nsh-0.4.2-r1.apk | 661872 | 2024-Oct-25 20:51 |
nsh-dbg-0.4.2-r1.apk | 3509384 | 2024-Oct-25 20:51 |
nsnake-3.0.0-r0.apk | 10108 | 2024-Oct-25 20:51 |
nsnake-doc-3.0.0-r0.apk | 2691 | 2024-Oct-25 20:51 |
nsq-1.3.0-r10.apk | 25682644 | 2025-May-14 17:29 |
ntpd-rs-1.6.2-r0.apk | 3524521 | 2025-Aug-29 21:59 |
ntpd-rs-doc-1.6.2-r0.apk | 24513 | 2025-Aug-29 21:59 |
ntpd-rs-openrc-1.6.2-r0.apk | 1694 | 2025-Aug-29 21:59 |
nuklear-4.12.0-r0.apk | 224845 | 2024-Oct-25 20:51 |
nuklear-doc-4.12.0-r0.apk | 43187 | 2024-Oct-25 20:51 |
nullmailer-2.2-r4.apk | 144378 | 2024-Oct-25 20:51 |
nullmailer-doc-2.2-r4.apk | 10460 | 2024-Oct-25 20:51 |
nullmailer-openrc-2.2-r4.apk | 1635 | 2024-Oct-25 20:51 |
numbat-1.16.0-r0.apk | 1934228 | 2025-Aug-19 13:23 |
numbat-doc-1.16.0-r0.apk | 32451 | 2025-Aug-19 13:23 |
nuzzle-1.6-r0.apk | 11874 | 2025-Jan-17 14:16 |
nuzzle-doc-1.6-r0.apk | 3015 | 2025-Jan-17 14:16 |
nvim-cmp-0.0.0_git20221011-r1.apk | 55894 | 2024-Oct-25 20:51 |
nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7730 | 2024-Oct-25 20:51 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 3988 | 2024-Oct-25 20:51 |
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3129 | 2024-Oct-25 20:51 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1567 | 2024-Oct-25 20:51 |
nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10445 | 2024-Oct-25 20:51 |
nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3299 | 2024-Oct-25 20:51 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2401 | 2024-Oct-25 20:51 |
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3340 | 2024-Oct-25 20:51 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 1823 | 2024-Oct-25 20:51 |
nvim-cmp-path-0.0.0_git20221002-r1.apk | 3594 | 2024-Oct-25 20:51 |
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 1754 | 2024-Oct-25 20:51 |
nvim-gruvbox-0.0.0_git20221212-r1.apk | 10153 | 2024-Oct-25 20:51 |
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2598 | 2024-Oct-25 20:51 |
nvim-packer-0.0.0_git20220910-r1.apk | 46439 | 2024-Oct-25 20:51 |
nvim-packer-doc-0.0.0_git20220910-r1.apk | 21425 | 2024-Oct-25 20:51 |
nvim-web-devicons-0.100_git20241011-r0.apk | 27204 | 2024-Nov-11 03:58 |
nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7024 | 2024-Nov-11 03:58 |
nvimpager-0.12.0-r0.apk | 12625 | 2024-Oct-25 20:51 |
nvimpager-doc-0.12.0-r0.apk | 4261 | 2024-Oct-25 20:51 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1600 | 2024-Oct-25 20:51 |
nvtop-3.2.0-r0.apk | 68057 | 2025-Apr-29 23:40 |
nvtop-doc-3.2.0-r0.apk | 3351 | 2025-Apr-29 23:40 |
nwg-bar-0.1.6-r12.apk | 1614318 | 2025-Aug-08 16:55 |
nwg-displays-0.3.26-r0.apk | 27037 | 2025-Aug-28 07:40 |
nwg-displays-pyc-0.3.26-r0.apk | 36653 | 2025-Aug-28 07:40 |
nwg-dock-0.4.3-r2.apk | 1770939 | 2025-Aug-08 16:55 |
nwg-menu-0.1.9-r1.apk | 1719210 | 2025-Aug-08 16:55 |
nwg-menu-doc-0.1.9-r1.apk | 2053 | 2025-Aug-08 16:55 |
nwg-panel-0.10.12-r0.apk | 289352 | 2025-Jul-31 07:29 |
nwg-panel-doc-0.10.12-r0.apk | 4280 | 2025-Jul-31 07:29 |
nwg-panel-pyc-0.10.12-r0.apk | 276011 | 2025-Jul-31 07:29 |
nwipe-0.38-r0.apk | 277518 | 2025-Jun-19 17:35 |
nwipe-doc-0.38-r0.apk | 3629 | 2025-Jun-19 17:35 |
nymphcast-mediaserver-0.1-r4.apk | 75814 | 2025-Aug-28 21:09 |
nymphcast-mediaserver-nftables-0.1-r4.apk | 1470 | 2025-Aug-28 21:09 |
nzbget-25.2-r0.apk | 5145773 | 2025-Jul-04 22:11 |
nzbget-openrc-25.2-r0.apk | 1867 | 2025-Jul-04 22:11 |
oauth2-proxy-7.11.0-r1.apk | 8647733 | 2025-Aug-08 16:55 |
oauth2-proxy-openrc-7.11.0-r1.apk | 1893 | 2025-Aug-08 16:55 |
objconv-2.52_git20210213-r2.apk | 282744 | 2024-Oct-25 20:51 |
oblibs-0.3.4.0-r0.apk | 35978 | 2025-Jun-01 15:45 |
oblibs-dbg-0.3.4.0-r0.apk | 93725 | 2025-Jun-01 15:45 |
oblibs-dev-0.3.4.0-r0.apk | 242885 | 2025-Jun-01 15:45 |
obnc-0.17.2-r0.apk | 151595 | 2025-May-25 23:39 |
obnc-doc-0.17.2-r0.apk | 33852 | 2025-May-25 23:39 |
ocaml-alcotest-1.5.0-r4.apk | 484232 | 2024-Oct-25 20:51 |
ocaml-alcotest-dev-1.5.0-r4.apk | 866624 | 2024-Oct-25 20:51 |
ocaml-amqp-client-2.3.0-r0.apk | 624136 | 2024-Oct-25 20:51 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1102630 | 2024-Oct-25 20:51 |
ocaml-angstrom-0.16.0-r0.apk | 184165 | 2024-Oct-25 20:51 |
ocaml-angstrom-dev-0.16.0-r0.apk | 360843 | 2024-Oct-25 20:51 |
ocaml-arp-3.0.0-r3.apk | 90067 | 2024-Oct-25 20:51 |
ocaml-arp-dev-3.0.0-r3.apk | 167011 | 2024-Oct-25 20:51 |
ocaml-asn1-combinators-0.2.6-r2.apk | 327161 | 2024-Oct-25 20:51 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 605211 | 2024-Oct-25 20:51 |
ocaml-astring-0.8.5-r2.apk | 298173 | 2024-Oct-25 20:51 |
ocaml-astring-dev-0.8.5-r2.apk | 200611 | 2024-Oct-25 20:51 |
ocaml-atd-2.15.0-r0.apk | 7430395 | 2024-Oct-25 20:51 |
ocaml-atd-dev-2.15.0-r0.apk | 2044553 | 2024-Oct-25 20:51 |
ocaml-base-0.16.3-r0.apk | 4851319 | 2024-Oct-25 20:51 |
ocaml-base-dev-0.16.3-r0.apk | 10319591 | 2024-Oct-25 20:51 |
ocaml-base64-3.5.0-r2.apk | 95280 | 2024-Oct-25 20:51 |
ocaml-base64-dev-3.5.0-r2.apk | 184970 | 2024-Oct-25 20:51 |
ocaml-bigarray-compat-1.1.0-r2.apk | 13258 | 2024-Oct-25 20:51 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12487 | 2024-Oct-25 20:51 |
ocaml-bigstringaf-0.9.0-r2.apk | 49485 | 2024-Oct-25 20:51 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 94116 | 2024-Oct-25 20:51 |
ocaml-biniou-1.2.1-r5.apk | 609179 | 2024-Oct-25 20:51 |
ocaml-biniou-dev-1.2.1-r5.apk | 384556 | 2024-Oct-25 20:51 |
ocaml-bisect_ppx-2.8.3-r0.apk | 5122116 | 2024-Oct-25 20:51 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 576133 | 2024-Oct-25 20:51 |
ocaml-bitstring-4.1.0-r3.apk | 4481076 | 2024-Oct-25 20:51 |
ocaml-bitstring-dev-4.1.0-r3.apk | 598814 | 2024-Oct-25 20:51 |
ocaml-bos-0.2.1-r2.apk | 480935 | 2024-Oct-25 20:51 |
ocaml-bos-dev-0.2.1-r2.apk | 382992 | 2024-Oct-25 20:51 |
ocaml-ca-certs-0.2.2-r2.apk | 32418 | 2024-Oct-25 20:51 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 42274 | 2024-Oct-25 20:51 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 404247 | 2024-Oct-25 20:51 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 458597 | 2024-Oct-25 20:51 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1677237 | 2024-Oct-25 20:51 |
ocaml-cairo2-0.6.2-r2.apk | 174555 | 2024-Oct-25 20:51 |
ocaml-cairo2-dev-0.6.2-r2.apk | 460326 | 2024-Oct-25 20:51 |
ocaml-calendar-2.04-r4.apk | 230326 | 2024-Oct-25 20:51 |
ocaml-calendar-dev-2.04-r4.apk | 196976 | 2024-Oct-25 20:51 |
ocaml-calendar-doc-2.04-r4.apk | 11892 | 2024-Oct-25 20:51 |
ocaml-camlpdf-2.8.1-r0.apk | 6397639 | 2025-May-08 12:40 |
ocaml-camlzip-1.11-r2.apk | 119403 | 2024-Oct-25 20:51 |
ocaml-camlzip-dev-1.11-r2.apk | 229350 | 2024-Oct-25 20:51 |
ocaml-camomile-1.0.2-r3.apk | 1406341 | 2024-Oct-25 20:51 |
ocaml-camomile-data-1.0.2-r3.apk | 5343182 | 2024-Oct-25 20:51 |
ocaml-camomile-dev-1.0.2-r3.apk | 2665516 | 2024-Oct-25 20:51 |
ocaml-charinfo_width-1.1.0-r3.apk | 108523 | 2024-Oct-25 20:51 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 200551 | 2024-Oct-25 20:51 |
ocaml-cmdliner-1.1.1-r3.apk | 486002 | 2024-Oct-25 20:51 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 270148 | 2024-Oct-25 20:51 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 19973 | 2024-Oct-25 20:51 |
ocaml-cohttp-5.3.1-r0.apk | 699641 | 2024-Oct-25 20:51 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1390802 | 2024-Oct-25 20:51 |
ocaml-cohttp-tools-5.3.1-r0.apk | 8419545 | 2024-Oct-25 20:51 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 85091 | 2024-Oct-25 20:51 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 106086 | 2024-Oct-25 20:51 |
ocaml-conduit-6.1.0-r0.apk | 301969 | 2024-Oct-25 20:51 |
ocaml-conduit-dev-6.1.0-r0.apk | 575688 | 2024-Oct-25 20:51 |
ocaml-containers-3.7-r2.apk | 3746646 | 2024-Oct-25 20:51 |
ocaml-containers-dev-3.7-r2.apk | 7200455 | 2024-Oct-25 20:51 |
ocaml-containers-top-3.7-r2.apk | 25335 | 2024-Oct-25 20:51 |
ocaml-cpdf-2.8.1-r0.apk | 5240291 | 2025-May-08 12:40 |
ocaml-cstruct-6.1.0-r3.apk | 4609987 | 2024-Oct-25 20:51 |
ocaml-cstruct-dev-6.1.0-r3.apk | 738847 | 2024-Oct-25 20:51 |
ocaml-ctypes-0.20.1-r2.apk | 917209 | 2024-Oct-25 20:51 |
ocaml-ctypes-dev-0.20.1-r2.apk | 923597 | 2024-Oct-25 20:51 |
ocaml-curses-1.0.10-r2.apk | 146558 | 2024-Oct-25 20:51 |
ocaml-curses-dev-1.0.10-r2.apk | 329580 | 2024-Oct-25 20:51 |
ocaml-dns-6.2.2-r3.apk | 2398238 | 2024-Oct-25 20:51 |
ocaml-dns-dev-6.2.2-r3.apk | 4449905 | 2024-Oct-25 20:51 |
ocaml-dns-tools-6.2.2-r3.apk | 11754049 | 2024-Oct-25 20:51 |
ocaml-domain-name-0.4.0-r2.apk | 77268 | 2024-Oct-25 20:51 |
ocaml-domain-name-dev-0.4.0-r2.apk | 147843 | 2024-Oct-25 20:51 |
ocaml-down-0.1.0-r3.apk | 668994 | 2024-Oct-25 20:51 |
ocaml-down-dev-0.1.0-r3.apk | 376581 | 2024-Oct-25 20:51 |
ocaml-duration-0.2.0-r2.apk | 27553 | 2024-Oct-25 20:51 |
ocaml-duration-dev-0.2.0-r2.apk | 46218 | 2024-Oct-25 20:51 |
ocaml-easy-format-1.3.4-r1.apk | 63088 | 2024-Oct-25 20:51 |
ocaml-easy-format-dev-1.3.4-r1.apk | 116971 | 2024-Oct-25 20:51 |
ocaml-eqaf-0.8-r2.apk | 74218 | 2024-Oct-25 20:51 |
ocaml-eqaf-dev-0.8-r2.apk | 126538 | 2024-Oct-25 20:51 |
ocaml-erm_xml-0_git20211229-r2.apk | 601131 | 2024-Oct-25 20:51 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 829130 | 2024-Oct-25 20:51 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1400834 | 2024-Oct-25 20:51 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1764254 | 2024-Oct-25 20:51 |
ocaml-ethernet-3.0.0-r3.apk | 45964 | 2024-Oct-25 20:51 |
ocaml-ethernet-dev-3.0.0-r3.apk | 87253 | 2024-Oct-25 20:51 |
ocaml-extlib-1.7.9-r2.apk | 665300 | 2024-Oct-25 20:51 |
ocaml-extlib-dev-1.7.9-r2.apk | 1391790 | 2024-Oct-25 20:51 |
ocaml-extlib-doc-1.7.9-r2.apk | 10606 | 2024-Oct-25 20:51 |
ocaml-ezxmlm-1.1.0-r0.apk | 33770 | 2024-Oct-25 20:51 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 54771 | 2024-Oct-25 20:51 |
ocaml-fileutils-0.6.4-r2.apk | 327059 | 2024-Oct-25 20:51 |
ocaml-fileutils-dev-0.6.4-r2.apk | 629198 | 2024-Oct-25 20:51 |
ocaml-fileutils-doc-0.6.4-r2.apk | 15915 | 2024-Oct-25 20:51 |
ocaml-fix-20220121-r2.apk | 173962 | 2024-Oct-25 20:51 |
ocaml-fix-dev-20220121-r2.apk | 448700 | 2024-Oct-25 20:51 |
ocaml-fmt-0.9.0-r2.apk | 201454 | 2024-Oct-25 20:51 |
ocaml-fmt-dev-0.9.0-r2.apk | 139808 | 2024-Oct-25 20:51 |
ocaml-fpath-0.7.3-r2.apk | 144818 | 2024-Oct-25 20:51 |
ocaml-fpath-dev-0.7.3-r2.apk | 110523 | 2024-Oct-25 20:51 |
ocaml-gen-1.1-r1.apk | 334389 | 2024-Oct-25 20:51 |
ocaml-gen-dev-1.1-r1.apk | 641019 | 2024-Oct-25 20:51 |
ocaml-gettext-0.4.2-r3.apk | 3785792 | 2024-Oct-25 20:51 |
ocaml-gettext-dev-0.4.2-r3.apk | 763711 | 2024-Oct-25 20:51 |
ocaml-gettext-doc-0.4.2-r3.apk | 19377 | 2024-Oct-25 20:51 |
ocaml-gitlab-0.1.8-r0.apk | 3195047 | 2024-Oct-25 20:51 |
ocaml-gitlab-dev-0.1.8-r0.apk | 12186893 | 2024-Oct-25 20:51 |
ocaml-gmap-0.3.0-r2.apk | 38073 | 2024-Oct-25 20:51 |
ocaml-gmap-dev-0.3.0-r2.apk | 77693 | 2024-Oct-25 20:51 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 87392 | 2024-Oct-25 20:51 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 155266 | 2024-Oct-25 20:51 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 43820 | 2024-Oct-25 20:51 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 62225 | 2024-Oct-25 20:51 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2689258 | 2024-Oct-25 20:51 |
ocaml-hex-1.5.0-r2.apk | 31810 | 2024-Oct-25 20:51 |
ocaml-hex-dev-1.5.0-r2.apk | 56575 | 2024-Oct-25 20:51 |
ocaml-higlo-0.9-r0.apk | 5421735 | 2024-Oct-25 20:51 |
ocaml-higlo-dev-0.9-r0.apk | 723764 | 2024-Oct-25 20:51 |
ocaml-hkdf-1.0.4-r2.apk | 15079 | 2024-Oct-25 20:51 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18940 | 2024-Oct-25 20:51 |
ocaml-integers-0.7.0-r2.apk | 133448 | 2024-Oct-25 20:51 |
ocaml-integers-dev-0.7.0-r2.apk | 288711 | 2024-Oct-25 20:51 |
ocaml-ipaddr-5.3.1-r2.apk | 335068 | 2024-Oct-25 20:51 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 587762 | 2024-Oct-25 20:51 |
ocaml-iri-1.0.0-r0.apk | 1935487 | 2024-Oct-25 20:51 |
ocaml-iri-dev-1.0.0-r0.apk | 823561 | 2024-Oct-25 20:51 |
ocaml-iso8601-0.2.6-r0.apk | 55175 | 2024-Oct-25 20:51 |
ocaml-iso8601-dev-0.2.6-r0.apk | 92209 | 2024-Oct-25 20:51 |
ocaml-jsonm-1.0.2-r0.apk | 123103 | 2024-Oct-25 20:51 |
ocaml-jsonm-dev-1.0.2-r0.apk | 77036 | 2024-Oct-25 20:51 |
ocaml-jsonm-tools-1.0.2-r0.apk | 485234 | 2024-Oct-25 20:51 |
ocaml-lablgtk3-3.1.2-r3.apk | 8395719 | 2024-Oct-25 20:51 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 14807262 | 2024-Oct-25 20:51 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 920222 | 2024-Oct-25 20:51 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1638636 | 2024-Oct-25 20:51 |
ocaml-labltk-8.06.12-r2.apk | 3013731 | 2024-Oct-25 20:51 |
ocaml-labltk-dev-8.06.12-r2.apk | 1659962 | 2024-Oct-25 20:51 |
ocaml-lambda-term-3.2.0-r4.apk | 3727476 | 2024-Oct-25 20:51 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 4089321 | 2024-Oct-25 20:51 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8748 | 2024-Oct-25 20:51 |
ocaml-lambdasoup-0.7.3-r2.apk | 196280 | 2024-Oct-25 20:51 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 364363 | 2024-Oct-25 20:51 |
ocaml-libvirt-0.6.1.7-r0.apk | 181426 | 2024-Oct-25 20:51 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 110633 | 2024-Oct-25 20:51 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 13792 | 2024-Oct-25 20:51 |
ocaml-logs-0.7.0-r3.apk | 128050 | 2024-Oct-25 20:51 |
ocaml-logs-dev-0.7.0-r3.apk | 96124 | 2024-Oct-25 20:51 |
ocaml-lru-0.3.0-r2.apk | 79156 | 2024-Oct-25 20:51 |
ocaml-lru-dev-0.3.0-r2.apk | 170814 | 2024-Oct-25 20:51 |
ocaml-lwd-0.3-r0.apk | 511253 | 2024-Oct-25 20:51 |
ocaml-lwd-dev-0.3-r0.apk | 1065352 | 2024-Oct-25 20:51 |
ocaml-lwt-5.7.0-r0.apk | 1287769 | 2024-Oct-25 20:51 |
ocaml-lwt-dev-5.7.0-r0.apk | 3348194 | 2024-Oct-25 20:51 |
ocaml-lwt-dllist-1.0.1-r3.apk | 23903 | 2024-Oct-25 20:51 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 41843 | 2024-Oct-25 20:51 |
ocaml-lwt_log-1.1.1-r5.apk | 137685 | 2024-Oct-25 20:51 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 268869 | 2024-Oct-25 20:51 |
ocaml-lwt_ppx-5.7.0-r0.apk | 4244507 | 2024-Oct-25 20:51 |
ocaml-lwt_react-5.7.0-r0.apk | 124517 | 2024-Oct-25 20:51 |
ocaml-lwt_ssl-1.2.0-r0.apk | 31286 | 2024-Oct-25 20:51 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 50092 | 2024-Oct-25 20:51 |
ocaml-magic-mime-1.3.1-r0.apk | 214970 | 2024-Oct-25 20:51 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 351566 | 2024-Oct-25 20:51 |
ocaml-markup-1.0.3-r3.apk | 1054643 | 2024-Oct-25 20:51 |
ocaml-markup-dev-1.0.3-r3.apk | 2273576 | 2024-Oct-25 20:51 |
ocaml-menhir-20220210-r3.apk | 1434514 | 2024-Dec-08 16:20 |
ocaml-menhir-dev-20220210-r3.apk | 933900 | 2024-Dec-08 16:20 |
ocaml-menhir-doc-20220210-r3.apk | 624219 | 2024-Dec-08 16:20 |
ocaml-merlin-extend-0.6.1-r2.apk | 51913 | 2024-Oct-25 20:51 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 95903 | 2024-Oct-25 20:51 |
ocaml-metrics-0.4.0-r3.apk | 259322 | 2024-Oct-25 20:51 |
ocaml-metrics-dev-0.4.0-r3.apk | 474266 | 2024-Oct-25 20:51 |
ocaml-mew-0.1.0-r3.apk | 72551 | 2024-Oct-25 20:51 |
ocaml-mew-dev-0.1.0-r3.apk | 100973 | 2024-Oct-25 20:51 |
ocaml-mew_vi-0.5.0-r3.apk | 191381 | 2024-Oct-25 20:51 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 308835 | 2024-Oct-25 20:51 |
ocaml-mikmatch-1.0.9-r2.apk | 155399 | 2024-Oct-25 20:51 |
ocaml-mirage-clock-4.2.0-r2.apk | 33546 | 2024-Oct-25 20:51 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 36090 | 2024-Oct-25 20:51 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1170063 | 2024-Oct-25 20:51 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 3050667 | 2024-Oct-25 20:51 |
ocaml-mirage-flow-3.0.0-r3.apk | 116832 | 2024-Oct-25 20:51 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 205212 | 2024-Oct-25 20:51 |
ocaml-mirage-kv-4.0.1-r3.apk | 21854 | 2024-Oct-25 20:51 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 45019 | 2024-Oct-25 20:51 |
ocaml-mirage-net-4.0.0-r3.apk | 13524 | 2024-Oct-25 20:51 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 20109 | 2024-Oct-25 20:51 |
ocaml-mirage-profile-0.9.1-r3.apk | 27142 | 2024-Oct-25 20:51 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 32767 | 2024-Oct-25 20:51 |
ocaml-mirage-random-3.0.0-r3.apk | 7688 | 2024-Oct-25 20:51 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5292 | 2024-Oct-25 20:51 |
ocaml-mirage-time-3.0.0-r4.apk | 14045 | 2024-Oct-25 20:51 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8244 | 2024-Oct-25 20:51 |
ocaml-mmap-1.2.0-r3.apk | 7797 | 2024-Oct-25 20:51 |
ocaml-mmap-dev-1.2.0-r3.apk | 6530 | 2024-Oct-25 20:51 |
ocaml-mqtt-0.2.2-r0.apk | 162625 | 2024-Oct-25 20:51 |
ocaml-mqtt-dev-0.2.2-r0.apk | 272009 | 2024-Oct-25 20:51 |
ocaml-mtime-1.4.0-r2.apk | 53593 | 2024-Oct-25 20:51 |
ocaml-mtime-dev-1.4.0-r2.apk | 51090 | 2024-Oct-25 20:51 |
ocaml-notty-0.2.3-r0.apk | 328786 | 2024-Oct-25 20:51 |
ocaml-notty-dev-0.2.3-r0.apk | 643407 | 2024-Oct-25 20:51 |
ocaml-num-1.4-r3.apk | 265286 | 2024-Oct-25 20:51 |
ocaml-num-dev-1.4-r3.apk | 104720 | 2024-Oct-25 20:51 |
ocaml-obuild-0.1.11-r0.apk | 1203167 | 2024-Oct-25 20:51 |
ocaml-ocf-0.8.0-r3.apk | 8807321 | 2024-Oct-25 20:51 |
ocaml-ocf-dev-0.8.0-r3.apk | 337669 | 2024-Oct-25 20:51 |
ocaml-ocp-indent-1.8.2-r2.apk | 580778 | 2024-Oct-25 20:51 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 653423 | 2024-Oct-25 20:51 |
ocaml-ocp-index-1.3.6-r0.apk | 496791 | 2024-Oct-25 20:51 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 541733 | 2024-Oct-25 20:51 |
ocaml-ocplib-endian-1.2-r3.apk | 161271 | 2024-Oct-25 20:51 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 273212 | 2024-Oct-25 20:51 |
ocaml-omake-0.10.6-r0.apk | 1797351 | 2024-Oct-25 20:51 |
ocaml-omake-doc-0.10.6-r0.apk | 7962 | 2024-Oct-25 20:51 |
ocaml-omod-0.0.3-r3.apk | 343736 | 2024-Oct-25 20:51 |
ocaml-omod-bin-0.0.3-r3.apk | 2988839 | 2024-Oct-25 20:51 |
ocaml-omod-dev-0.0.3-r3.apk | 233578 | 2024-Oct-25 20:51 |
ocaml-otoml-1.0.5-r0.apk | 457041 | 2024-Oct-25 20:51 |
ocaml-otoml-dev-1.0.5-r0.apk | 817655 | 2024-Oct-25 20:51 |
ocaml-otr-0.3.10-r2.apk | 293503 | 2024-Oct-25 20:51 |
ocaml-otr-dev-0.3.10-r2.apk | 552968 | 2024-Oct-25 20:51 |
ocaml-ounit-2.2.7-r3.apk | 560633 | 2024-Oct-25 20:51 |
ocaml-ounit-dev-2.2.7-r3.apk | 1128567 | 2024-Oct-25 20:51 |
ocaml-parsexp-0.16.0-r0.apk | 392901 | 2024-Oct-25 20:51 |
ocaml-parsexp-dev-0.16.0-r0.apk | 989266 | 2024-Oct-25 20:51 |
ocaml-pbkdf-1.2.0-r2.apk | 18599 | 2024-Oct-25 20:51 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 26267 | 2024-Oct-25 20:51 |
ocaml-pcre-7.5.0-r4.apk | 157201 | 2024-Oct-25 20:51 |
ocaml-pcre-dev-7.5.0-r4.apk | 316526 | 2024-Oct-25 20:51 |
ocaml-ppx_blob-0.8.0-r0.apk | 4152506 | 2024-Oct-25 20:51 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 19471 | 2024-Oct-25 20:51 |
ocaml-ppx_derivers-1.2.1-r2.apk | 10373 | 2024-Oct-25 20:51 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11588 | 2024-Oct-25 20:51 |
ocaml-ppx_deriving-5.3.0-r0.apk | 5542289 | 2024-Oct-25 20:51 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1405178 | 2024-Oct-25 20:51 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 605654 | 2024-Oct-25 20:51 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1225660 | 2024-Oct-25 20:51 |
ocaml-ppxlib-0.32.0-r0.apk | 13780876 | 2024-Oct-25 20:51 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 17061031 | 2024-Oct-25 20:52 |
ocaml-psq-0.2.0-r2.apk | 100892 | 2024-Oct-25 20:52 |
ocaml-psq-dev-0.2.0-r2.apk | 181263 | 2024-Oct-25 20:52 |
ocaml-ptime-1.0.0-r2.apk | 118425 | 2024-Oct-25 20:52 |
ocaml-ptime-dev-1.0.0-r2.apk | 80059 | 2024-Oct-25 20:52 |
ocaml-ptmap-2.0.5-r3.apk | 55849 | 2024-Oct-25 20:52 |
ocaml-ptmap-dev-2.0.5-r3.apk | 98001 | 2024-Oct-25 20:52 |
ocaml-qcheck-0.18.1-r3.apk | 697709 | 2024-Oct-25 20:52 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1449777 | 2024-Oct-25 20:52 |
ocaml-qtest-2.11.2-r3.apk | 359324 | 2024-Oct-25 20:52 |
ocaml-qtest-dev-2.11.2-r3.apk | 3464 | 2024-Oct-25 20:52 |
ocaml-randomconv-0.1.3-r2.apk | 14812 | 2024-Oct-25 20:52 |
ocaml-randomconv-dev-0.1.3-r2.apk | 18501 | 2024-Oct-25 20:52 |
ocaml-re-1.11.0-r1.apk | 558577 | 2024-Oct-25 20:52 |
ocaml-re-dev-1.11.0-r1.apk | 1026393 | 2024-Oct-25 20:52 |
ocaml-react-1.2.2-r2.apk | 294453 | 2024-Oct-25 20:52 |
ocaml-react-dev-1.2.2-r2.apk | 215746 | 2024-Oct-25 20:52 |
ocaml-reason-3.8.2-r1.apk | 16208249 | 2024-Oct-25 20:52 |
ocaml-reason-dev-3.8.2-r1.apk | 30613310 | 2024-Oct-25 20:52 |
ocaml-result-1.5-r2.apk | 9653 | 2024-Oct-25 20:52 |
ocaml-result-dev-1.5-r2.apk | 8224 | 2024-Oct-25 20:52 |
ocaml-rresult-0.7.0-r2.apk | 42264 | 2024-Oct-25 20:52 |
ocaml-rresult-dev-0.7.0-r2.apk | 37848 | 2024-Oct-25 20:52 |
ocaml-sedlex-3.2-r0.apk | 4604434 | 2024-Oct-25 20:52 |
ocaml-sedlex-dev-3.2-r0.apk | 1598199 | 2024-Oct-25 20:52 |
ocaml-seq-0.3.1-r2.apk | 15236 | 2024-Oct-25 20:52 |
ocaml-seq-dev-0.3.1-r2.apk | 21028 | 2024-Oct-25 20:52 |
ocaml-sexplib-0.16.0-r0.apk | 509238 | 2024-Oct-25 20:52 |
ocaml-sexplib-dev-0.16.0-r0.apk | 868566 | 2024-Oct-25 20:52 |
ocaml-sexplib0-0.16.0-r0.apk | 177296 | 2024-Oct-25 20:52 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 352653 | 2024-Oct-25 20:52 |
ocaml-sha-1.15.4-r0.apk | 75961 | 2024-Oct-25 20:52 |
ocaml-sha-dev-1.15.4-r0.apk | 236210 | 2024-Oct-25 20:52 |
ocaml-ssl-0.7.0-r0.apk | 99778 | 2024-Oct-25 20:52 |
ocaml-ssl-dev-0.7.0-r0.apk | 215389 | 2024-Oct-25 20:52 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4270 | 2024-Oct-25 20:52 |
ocaml-stk-0.1.0-r0.apk | 6418212 | 2024-Oct-25 20:52 |
ocaml-stk-dev-0.1.0-r0.apk | 10557340 | 2024-Oct-25 20:52 |
ocaml-stringext-1.6.0-r2.apk | 45420 | 2024-Oct-25 20:52 |
ocaml-stringext-dev-1.6.0-r2.apk | 81238 | 2024-Oct-25 20:52 |
ocaml-tcpip-7.1.2-r3.apk | 1307576 | 2024-Oct-25 20:52 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2599418 | 2024-Oct-25 20:52 |
ocaml-tls-0.15.3-r4.apk | 1318507 | 2024-Oct-25 20:52 |
ocaml-tls-dev-0.15.3-r4.apk | 2527611 | 2024-Oct-25 20:52 |
ocaml-tophide-1.0.4-r2.apk | 5522 | 2024-Oct-25 20:52 |
ocaml-topkg-1.0.5-r2.apk | 636086 | 2024-Oct-25 20:52 |
ocaml-topkg-dev-1.0.5-r2.apk | 452262 | 2024-Oct-25 20:52 |
ocaml-trie-1.0.0-r2.apk | 16763 | 2024-Oct-25 20:52 |
ocaml-trie-dev-1.0.0-r2.apk | 26169 | 2024-Oct-25 20:52 |
ocaml-tsdl-1.0.0-r0.apk | 934518 | 2024-Oct-25 20:52 |
ocaml-tsdl-dev-1.0.0-r0.apk | 625994 | 2024-Oct-25 20:52 |
ocaml-tsdl-image-0.6-r0.apk | 50081 | 2024-Oct-25 20:52 |
ocaml-tsdl-image-dev-0.6-r0.apk | 81974 | 2024-Oct-25 20:52 |
ocaml-tsdl-ttf-0.6-r0.apk | 64851 | 2024-Oct-25 20:52 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 113696 | 2024-Oct-25 20:52 |
ocaml-uri-4.2.0-r2.apk | 1457588 | 2024-Oct-25 20:52 |
ocaml-uri-dev-4.2.0-r2.apk | 4520540 | 2024-Oct-25 20:52 |
ocaml-utop-2.9.1-r4.apk | 357347 | 2024-Oct-25 20:52 |
ocaml-utop-dev-2.9.1-r4.apk | 780823 | 2024-Oct-25 20:52 |
ocaml-uucd-14.0.0-r2.apk | 282306 | 2024-Oct-25 20:52 |
ocaml-uucd-dev-14.0.0-r2.apk | 186339 | 2024-Oct-25 20:52 |
ocaml-uucp-14.0.0-r2.apk | 5455216 | 2024-Oct-25 20:52 |
ocaml-uucp-dev-14.0.0-r2.apk | 1362836 | 2024-Oct-25 20:52 |
ocaml-uuidm-0.9.8-r2.apk | 48084 | 2024-Oct-25 20:52 |
ocaml-uuidm-dev-0.9.8-r2.apk | 26525 | 2024-Oct-25 20:52 |
ocaml-uuidm-tools-0.9.8-r2.apk | 483263 | 2024-Oct-25 20:52 |
ocaml-uunf-14.0.0-r2.apk | 1311282 | 2024-Oct-25 20:52 |
ocaml-uunf-dev-14.0.0-r2.apk | 281344 | 2024-Oct-25 20:52 |
ocaml-uuseg-14.0.0-r2.apk | 97252 | 2024-Oct-25 20:52 |
ocaml-uuseg-dev-14.0.0-r2.apk | 54951 | 2024-Oct-25 20:52 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1419545 | 2024-Oct-25 20:52 |
ocaml-uutf-1.0.3-r2.apk | 674614 | 2024-Oct-25 20:52 |
ocaml-uutf-dev-1.0.3-r2.apk | 61005 | 2024-Oct-25 20:52 |
ocaml-x509-0.16.0-r2.apk | 865166 | 2024-Oct-25 20:52 |
ocaml-x509-dev-0.16.0-r2.apk | 1655912 | 2024-Oct-25 20:52 |
ocaml-xml-light-2.5-r0.apk | 224950 | 2024-Oct-25 20:52 |
ocaml-xml-light-dev-2.5-r0.apk | 405027 | 2024-Oct-25 20:52 |
ocaml-xmlm-1.4.0-r2.apk | 602980 | 2024-Oct-25 20:52 |
ocaml-xmlm-dev-1.4.0-r2.apk | 108211 | 2024-Oct-25 20:52 |
ocaml-xtmpl-0.19.0-r0.apk | 10000607 | 2024-Oct-25 20:52 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 812934 | 2024-Oct-25 20:52 |
ocaml-yojson-2.1.2-r0.apk | 1088708 | 2024-Oct-25 20:52 |
ocaml-yojson-dev-2.1.2-r0.apk | 1792516 | 2024-Oct-25 20:52 |
ocaml-zed-3.1.0-r3.apk | 538777 | 2024-Oct-25 20:52 |
ocaml-zed-dev-3.1.0-r3.apk | 1210046 | 2024-Oct-25 20:52 |
ocaml5-llvm-next-22.0.0_pre20250830-r0.apk | 708424 | 2025-Aug-31 17:48 |
ocamlnet-4.1.9-r2.apk | 17560612 | 2024-Oct-25 20:52 |
ocamlnet-dev-4.1.9-r2.apk | 6862250 | 2024-Oct-25 20:52 |
ocamlnet-tcl-4.1.9-r2.apk | 57156 | 2024-Oct-25 20:52 |
ocfs2-tools-1.8.7-r4.apk | 1236527 | 2024-Oct-25 20:52 |
ocfs2-tools-dev-1.8.7-r4.apk | 45763 | 2024-Oct-25 20:52 |
ocfs2-tools-doc-1.8.7-r4.apk | 70751 | 2024-Oct-25 20:52 |
ocp-indent-1.8.2-r2.apk | 1232372 | 2024-Oct-25 20:52 |
ocp-indent-doc-1.8.2-r2.apk | 17118 | 2024-Oct-25 20:52 |
ocp-indent-emacs-1.8.2-r2.apk | 3552 | 2024-Oct-25 20:52 |
ocp-indent-vim-1.8.2-r2.apk | 2206 | 2024-Oct-25 20:52 |
ocp-index-1.3.6-r0.apk | 6082147 | 2024-Oct-25 20:52 |
ocp-index-doc-1.3.6-r0.apk | 31131 | 2024-Oct-25 20:52 |
ocp-index-emacs-1.3.6-r0.apk | 6177 | 2024-Oct-25 20:52 |
ocp-index-vim-1.3.6-r0.apk | 2863 | 2024-Oct-25 20:52 |
octoprint-1.10.3-r0.apk | 3178767 | 2024-Dec-25 22:04 |
octoprint-creality2xfix-0.0.4-r2.apk | 4560 | 2024-Oct-25 20:52 |
octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3150 | 2024-Oct-25 20:52 |
octoprint-filecheck-2024.11.12-r0.apk | 28403 | 2025-Jul-12 15:31 |
octoprint-filecheck-pyc-2024.11.12-r0.apk | 11650 | 2025-Jul-12 15:31 |
octoprint-firmwarecheck-2021.10.11-r2.apk | 29744 | 2024-Oct-25 20:52 |
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 17749 | 2024-Oct-25 20:52 |
octoprint-openrc-1.10.3-r0.apk | 1482 | 2024-Dec-25 22:04 |
octoprint-pisupport-2023.10.10-r1.apk | 31310 | 2024-Oct-25 20:52 |
octoprint-pisupport-pyc-2023.10.10-r1.apk | 12854 | 2024-Oct-25 20:52 |
octoprint-pyc-1.10.3-r0.apk | 1297347 | 2024-Dec-25 22:04 |
oil-0.21.0-r0.apk | 1601259 | 2024-Oct-25 20:52 |
oil-doc-0.21.0-r0.apk | 6897 | 2024-Oct-25 20:52 |
oils-for-unix-0.34.0-r0.apk | 684143 | 2025-Jul-18 20:19 |
oils-for-unix-bash-0.34.0-r0.apk | 1293 | 2025-Jul-18 20:19 |
oils-for-unix-binsh-0.34.0-r0.apk | 1293 | 2025-Jul-18 20:19 |
oils-for-unix-doc-0.34.0-r0.apk | 6920 | 2025-Jul-18 20:19 |
ol-2.6-r0.apk | 1075675 | 2025-May-04 02:50 |
ol-dev-2.6-r0.apk | 15951 | 2025-May-04 02:50 |
ol-doc-2.6-r0.apk | 2382 | 2025-May-04 02:50 |
olab-0.1.8-r0.apk | 3805602 | 2024-Oct-25 20:52 |
olsrd-0.9.8-r3.apk | 172295 | 2024-Oct-25 20:52 |
olsrd-doc-0.9.8-r3.apk | 25405 | 2024-Oct-25 20:52 |
olsrd-openrc-0.9.8-r3.apk | 1656 | 2024-Oct-25 20:52 |
olsrd-plugins-0.9.8-r3.apk | 203569 | 2024-Oct-25 20:52 |
oniux-0.6.0-r0.apk | 6194100 | 2025-Jul-30 01:03 |
oniux-doc-0.6.0-r0.apk | 3063 | 2025-Jul-30 01:03 |
onnxruntime-1.22.2-r0.apk | 11882789 | 2025-Aug-29 10:12 |
onnxruntime-dev-1.22.2-r0.apk | 117299 | 2025-Aug-29 10:12 |
opcr-policy-0.3.0-r6.apk | 8844612 | 2025-Aug-08 16:55 |
openapi-tui-0.9.4-r1.apk | 4307943 | 2024-Oct-25 20:52 |
openapi-validator-1.19.2-r0.apk | 10418105 | 2024-Oct-25 20:52 |
opendht-3.1.11-r0.apk | 186215 | 2025-Jan-27 20:57 |
opendht-dev-3.1.11-r0.apk | 72322 | 2025-Jan-27 20:57 |
opendht-doc-3.1.11-r0.apk | 2831 | 2025-Jan-27 20:57 |
opendht-libs-3.1.11-r0.apk | 598173 | 2025-Jan-27 20:57 |
openfire-4.8.1-r1.apk | 48013992 | 2024-Dec-03 14:44 |
openfire-doc-4.8.1-r1.apk | 3963432 | 2024-Dec-03 14:44 |
openfire-openrc-4.8.1-r1.apk | 1698 | 2024-Dec-03 14:44 |
openfire-plugins-4.8.1-r1.apk | 73820 | 2024-Dec-03 14:44 |
openfortivpn-1.22.1-r0.apk | 42269 | 2024-Dec-11 21:38 |
openfortivpn-doc-1.22.1-r0.apk | 6184 | 2024-Dec-11 21:38 |
openfpgaloader-0.11.0-r0.apk | 2045641 | 2024-Oct-25 20:52 |
openjdk22-22.0.2_p9-r3.apk | 1243 | 2025-Feb-18 22:21 |
openjdk22-demos-22.0.2_p9-r3.apk | 5463720 | 2025-Feb-18 22:21 |
openjdk22-doc-22.0.2_p9-r3.apk | 191236 | 2025-Feb-18 22:21 |
openjdk22-jdk-22.0.2_p9-r3.apk | 6965198 | 2025-Feb-18 22:21 |
openjdk22-jmods-22.0.2_p9-r3.apk | 79615214 | 2025-Feb-18 22:21 |
openjdk22-jre-22.0.2_p9-r3.apk | 1198997 | 2025-Feb-18 22:21 |
openjdk22-jre-headless-22.0.2_p9-r3.apk | 64485758 | 2025-Feb-18 22:22 |
openjdk22-src-22.0.2_p9-r3.apk | 50053724 | 2025-Feb-18 22:22 |
openjdk22-static-libs-22.0.2_p9-r3.apk | 21720898 | 2025-Feb-18 22:22 |
openjdk23-23.0.2_p7-r1.apk | 1244 | 2025-Feb-18 22:22 |
openjdk23-demos-23.0.2_p7-r1.apk | 5471294 | 2025-Feb-18 22:22 |
openjdk23-doc-23.0.2_p7-r1.apk | 192583 | 2025-Feb-18 22:22 |
openjdk23-jdk-23.0.2_p7-r1.apk | 7120050 | 2025-Feb-18 22:22 |
openjdk23-jmods-23.0.2_p7-r1.apk | 83581479 | 2025-Feb-18 22:22 |
openjdk23-jre-23.0.2_p7-r1.apk | 1199184 | 2025-Feb-18 22:22 |
openjdk23-jre-headless-23.0.2_p7-r1.apk | 68939342 | 2025-Feb-18 22:22 |
openjdk23-src-23.0.2_p7-r1.apk | 49872853 | 2025-Feb-18 22:22 |
openjdk23-static-libs-23.0.2_p7-r1.apk | 20988638 | 2025-Feb-18 22:22 |
openjdk24-24.0.2_p12-r0.apk | 1301 | 2025-Jul-18 15:12 |
openjdk24-demos-24.0.2_p12-r0.apk | 5580030 | 2025-Jul-18 15:12 |
openjdk24-doc-24.0.2_p12-r0.apk | 33412039 | 2025-Jul-18 15:12 |
openjdk24-jdk-24.0.2_p12-r0.apk | 7454016 | 2025-Jul-18 15:12 |
openjdk24-jmods-24.0.2_p12-r0.apk | 84168252 | 2025-Jul-18 15:12 |
openjdk24-jre-24.0.2_p12-r0.apk | 1232440 | 2025-Jul-18 15:12 |
openjdk24-jre-headless-24.0.2_p12-r0.apk | 77695088 | 2025-Jul-18 15:12 |
openjdk24-src-24.0.2_p12-r0.apk | 50048773 | 2025-Jul-18 15:12 |
openjdk24-static-libs-24.0.2_p12-r0.apk | 21750892 | 2025-Jul-18 15:12 |
openocd-esp32-0_git20250422-r1.apk | 1993919 | 2025-Jul-05 19:52 |
openocd-esp32-dev-0_git20250422-r1.apk | 3478 | 2025-Jul-05 19:52 |
openocd-esp32-doc-0_git20250422-r1.apk | 3015 | 2025-Jul-05 19:52 |
openocd-esp32-udev-rules-0_git20250422-r1.apk | 3300 | 2025-Jul-05 19:52 |
openocd-git-0_git20240113-r1.apk | 1694136 | 2024-Oct-25 20:52 |
openocd-git-cmd-openocd-0_git20240113-r1.apk | 1274 | 2024-Oct-25 20:52 |
openocd-git-dbg-0_git20240113-r1.apk | 4176319 | 2024-Oct-25 20:52 |
openocd-git-dev-0_git20240113-r1.apk | 3231 | 2024-Oct-25 20:52 |
openocd-git-doc-0_git20240113-r1.apk | 3107 | 2024-Oct-25 20:52 |
openocd-git-udev-rules-0_git20240113-r1.apk | 3232 | 2024-Oct-25 20:52 |
openocd-riscv-0_git20230104-r2.apk | 1569804 | 2024-Oct-25 20:52 |
openocd-riscv-dev-0_git20230104-r2.apk | 3548 | 2024-Oct-25 20:52 |
openocd-riscv-doc-0_git20230104-r2.apk | 3102 | 2024-Oct-25 20:52 |
openocd-riscv-udev-rules-0_git20230104-r2.apk | 3086 | 2024-Oct-25 20:52 |
openrdap-0.9.1-r0.apk | 3321633 | 2025-Jun-24 15:50 |
openrdap-doc-0.9.1-r0.apk | 2016 | 2025-Jun-24 15:50 |
openscap-daemon-0.1.10-r9.apk | 61341 | 2024-Oct-25 20:52 |
openscap-daemon-doc-0.1.10-r9.apk | 17699 | 2024-Oct-25 20:52 |
openscap-daemon-pyc-0.1.10-r9.apk | 104057 | 2024-Oct-25 20:52 |
openslide-3.4.1-r3.apk | 86496 | 2024-Oct-25 20:52 |
openslide-dev-3.4.1-r3.apk | 6777 | 2024-Oct-25 20:52 |
openslide-doc-3.4.1-r3.apk | 4798 | 2024-Oct-25 20:52 |
openslide-tools-3.4.1-r3.apk | 14383 | 2024-Oct-25 20:52 |
opensm-3.3.24-r2.apk | 481089 | 2024-Oct-25 20:52 |
opensm-dev-3.3.24-r2.apk | 223773 | 2024-Oct-25 20:52 |
opensm-doc-3.3.24-r2.apk | 38510 | 2024-Oct-25 20:52 |
opensm-openrc-3.3.24-r2.apk | 2629 | 2024-Oct-25 20:52 |
openswitcher-0.5.0-r4.apk | 151701 | 2024-Oct-25 20:53 |
openswitcher-proxy-0.5.0-r4.apk | 9343 | 2024-Oct-25 20:53 |
openswitcher-proxy-openrc-0.5.0-r4.apk | 1819 | 2024-Oct-25 20:53 |
opentelemetry-cpp-1.22.0-r0.apk | 657596 | 2025-Jul-16 11:29 |
opentelemetry-cpp-dev-1.22.0-r0.apk | 517126 | 2025-Jul-16 11:29 |
opentelemetry-cpp-exporter-otlp-common-1.22.0-r0..> | 51379 | 2025-Jul-16 11:29 |
opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r0.apk | 57769 | 2025-Jul-16 11:29 |
opentelemetry-cpp-exporter-otlp-http-1.22.0-r0.apk | 86168 | 2025-Jul-16 11:29 |
opentelemetry-cpp-exporter-zipkin-1.22.0-r0.apk | 50320 | 2025-Jul-16 11:29 |
openvpn3-3.8.5-r1.apk | 408057 | 2025-Feb-18 22:22 |
openvpn3-dev-3.8.5-r1.apk | 682762 | 2025-Feb-18 22:22 |
openwsman-2.8.1-r1.apk | 49859 | 2025-Jun-30 09:24 |
openwsman-dev-2.8.1-r1.apk | 56777 | 2025-Jun-30 09:24 |
openwsman-doc-2.8.1-r1.apk | 2187 | 2025-Jun-30 09:24 |
openwsman-libs-2.8.1-r1.apk | 337963 | 2025-Jun-30 09:24 |
opkg-0.7.0-r0.apk | 10496 | 2024-Oct-25 20:53 |
opkg-dev-0.7.0-r0.apk | 125037 | 2024-Oct-25 20:53 |
opkg-doc-0.7.0-r0.apk | 7569 | 2024-Oct-25 20:53 |
opkg-libs-0.7.0-r0.apk | 84707 | 2024-Oct-25 20:53 |
opkg-utils-0.7.0-r0.apk | 25538 | 2024-Oct-25 20:53 |
opkg-utils-doc-0.7.0-r0.apk | 3441 | 2024-Oct-25 20:53 |
opmsg-1.84-r1.apk | 301023 | 2024-Oct-25 20:53 |
orage-4.20.1-r0.apk | 594444 | 2025-Apr-08 11:54 |
orage-lang-4.20.1-r0.apk | 1265665 | 2025-Apr-08 11:54 |
osmctools-0.9-r0.apk | 127757 | 2024-Oct-25 20:53 |
ostui-1.0.3-r2.apk | 4858892 | 2025-May-14 17:29 |
ostui-doc-1.0.3-r2.apk | 28319 | 2025-May-14 17:29 |
otf-atkinson-hyperlegible-2020.0514-r1.apk | 103795 | 2024-Oct-25 20:53 |
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 48564 | 2024-Oct-25 20:53 |
otpclient-4.1.1-r0.apk | 126861 | 2025-Jul-22 22:45 |
otpclient-doc-4.1.1-r0.apk | 3467 | 2025-Jul-22 22:45 |
otree-0.6.1-r0.apk | 1392559 | 2025-Aug-26 17:31 |
otree-doc-0.6.1-r0.apk | 3347 | 2025-Aug-26 17:31 |
otrs-6.0.48-r2.apk | 30073120 | 2024-Oct-25 20:53 |
otrs-apache2-6.0.48-r2.apk | 3858 | 2024-Oct-25 20:53 |
otrs-bash-completion-6.0.48-r2.apk | 2195 | 2024-Oct-25 20:53 |
otrs-dev-6.0.48-r2.apk | 4058271 | 2024-Oct-25 20:53 |
otrs-doc-6.0.48-r2.apk | 813958 | 2024-Oct-25 20:53 |
otrs-fastcgi-6.0.48-r2.apk | 1545 | 2024-Oct-25 20:53 |
otrs-nginx-6.0.48-r2.apk | 1567 | 2024-Oct-25 20:53 |
otrs-openrc-6.0.48-r2.apk | 1673 | 2024-Oct-25 20:53 |
otrs-setup-6.0.48-r2.apk | 109652 | 2024-Oct-25 20:53 |
ouch-0.6.1-r0.apk | 1811492 | 2025-May-28 07:40 |
ouch-bash-completion-0.6.1-r0.apk | 2296 | 2025-May-28 07:40 |
ouch-doc-0.6.1-r0.apk | 3644 | 2025-May-28 07:40 |
ouch-fish-completion-0.6.1-r0.apk | 2844 | 2025-May-28 07:40 |
ouch-zsh-completion-0.6.1-r0.apk | 2937 | 2025-May-28 07:40 |
ovn-24.03.1-r0.apk | 7773448 | 2024-Oct-25 20:53 |
ovn-dbg-24.03.1-r0.apk | 25942582 | 2024-Oct-25 20:53 |
ovn-dev-24.03.1-r0.apk | 10679337 | 2024-Oct-25 20:53 |
ovn-doc-24.03.1-r0.apk | 523948 | 2024-Oct-25 20:53 |
ovn-openrc-24.03.1-r0.apk | 3985 | 2024-Oct-25 20:53 |
ovos-audio-1.0.1-r0.apk | 139282 | 2025-Jul-15 20:05 |
ovos-audio-pyc-1.0.1-r0.apk | 36423 | 2025-Jul-15 20:05 |
ovos-core-1.3.1-r0.apk | 52105 | 2025-May-26 17:46 |
ovos-core-pyc-1.3.1-r0.apk | 64906 | 2025-May-26 17:46 |
ovos-gui-1.3.3-r0.apk | 38497 | 2025-Jul-15 20:05 |
ovos-gui-pyc-1.3.3-r0.apk | 39092 | 2025-Jul-15 20:05 |
ovos-messagebus-0.0.10-r0.apk | 9925 | 2025-Apr-08 07:45 |
ovos-messagebus-pyc-0.0.10-r0.apk | 6542 | 2025-Apr-08 07:45 |
ovos-phal-0.2.10-r0.apk | 10464 | 2025-Jul-15 19:31 |
ovos-phal-pyc-0.2.10-r0.apk | 7148 | 2025-Jul-15 19:31 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 46468 | 2024-Oct-25 20:53 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 3799 | 2024-Oct-25 20:53 |
ovpncc-0.1_rc1-r0.apk | 11964 | 2024-Oct-25 20:53 |
ovpncc-doc-0.1_rc1-r0.apk | 6405 | 2024-Oct-25 20:53 |
oxygen-icons-6.1.0-r0.apk | 33134125 | 2024-Oct-25 20:53 |
p0f-3.09b-r3.apk | 80251 | 2024-Oct-25 20:53 |
p0f-doc-3.09b-r3.apk | 25606 | 2024-Oct-25 20:53 |
p910nd-0.97-r2.apk | 9051 | 2024-Oct-25 20:53 |
p910nd-doc-0.97-r2.apk | 3054 | 2024-Oct-25 20:53 |
p910nd-openrc-0.97-r2.apk | 1882 | 2024-Oct-25 20:53 |
pacparser-1.4.5-r1.apk | 746670 | 2024-Oct-25 20:53 |
pacparser-dev-1.4.5-r1.apk | 3418 | 2024-Oct-25 20:53 |
pacparser-doc-1.4.5-r1.apk | 17912 | 2024-Oct-25 20:53 |
paged-markdown-3-pdf-0.1.3-r0.apk | 395801 | 2025-May-28 14:24 |
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1641 | 2025-May-28 14:24 |
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1563 | 2025-May-28 14:24 |
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1638 | 2025-May-28 14:24 |
pam-krb5-4.11-r1.apk | 23933 | 2024-Oct-25 20:53 |
pam-krb5-doc-4.11-r1.apk | 23924 | 2024-Oct-25 20:53 |
pam-pkcs11-0.6.13-r0.apk | 282261 | 2025-Jun-10 19:03 |
pam-pkcs11-doc-0.6.13-r0.apk | 14288 | 2025-Jun-10 19:03 |
pam_sqlite3-1.0.2-r2.apk | 9054 | 2024-Oct-25 20:53 |
pamtester-0.1.2-r4.apk | 9377 | 2024-Oct-25 20:53 |
pamtester-doc-0.1.2-r4.apk | 2689 | 2024-Oct-25 20:53 |
pantalaimon-0.10.5-r4.apk | 45573 | 2024-Oct-25 20:53 |
pantalaimon-doc-0.10.5-r4.apk | 6269 | 2024-Oct-25 20:53 |
pantalaimon-pyc-0.10.5-r4.apk | 84641 | 2024-Oct-25 20:53 |
pantalaimon-ui-0.10.5-r4.apk | 1496 | 2024-Oct-25 20:53 |
paperde-0.3.0-r2.apk | 644750 | 2025-Aug-21 08:05 |
paperde-dev-0.3.0-r2.apk | 4828 | 2025-Aug-21 08:05 |
paperkey-1.6-r2.apk | 17165 | 2024-Oct-25 20:53 |
paperkey-doc-1.6-r2.apk | 4351 | 2024-Oct-25 20:53 |
paprefs-1.2-r2.apk | 31562 | 2024-Nov-22 22:15 |
paprefs-lang-1.2-r2.apk | 38530 | 2024-Nov-22 22:15 |
par-1.53.0-r1.apk | 14835 | 2024-Oct-25 20:53 |
par-doc-1.53.0-r1.apk | 30789 | 2024-Oct-25 20:53 |
par2cmdline-turbo-1.3.0-r0.apk | 172279 | 2025-May-08 12:42 |
par2cmdline-turbo-doc-1.3.0-r0.apk | 5782 | 2025-May-08 12:42 |
parcellite-1.2.5-r0.apk | 232089 | 2024-Oct-25 20:53 |
parcellite-doc-1.2.5-r0.apk | 25569 | 2024-Oct-25 20:53 |
parcellite-lang-1.2.5-r0.apk | 49683 | 2024-Oct-25 20:53 |
parse-changelog-0.6.12-r0.apk | 569362 | 2025-May-18 22:19 |
pash-2.3.0-r2.apk | 4118 | 2024-Oct-25 20:53 |
pasystray-0.8.2-r0.apk | 48578 | 2024-Oct-25 20:53 |
pasystray-doc-0.8.2-r0.apk | 3145 | 2024-Oct-25 20:53 |
pathvector-6.3.2-r15.apk | 3976636 | 2025-Aug-08 16:55 |
pcl-1.14.1-r0.apk | 538046 | 2025-Feb-18 22:22 |
pcl-dev-1.14.1-r0.apk | 395908 | 2025-Feb-18 22:22 |
pcl-libs-1.14.1-r0.apk | 1452905 | 2025-Feb-18 22:22 |
pdal-python-plugins-1.6.5-r0.apk | 253159 | 2025-Jun-21 21:30 |
pdf2svg-0.2.3-r1.apk | 4809 | 2024-Oct-25 20:53 |
pdfcrack-0.20-r0.apk | 25695 | 2024-Oct-25 20:53 |
pebble-le-0.3.0-r2.apk | 67163 | 2024-Dec-14 21:36 |
pebble-le-dev-0.3.0-r2.apk | 44680 | 2024-Dec-14 21:36 |
pebble-le-doc-0.3.0-r2.apk | 3504 | 2024-Dec-14 21:36 |
peervpn-0.044-r5.apk | 40971 | 2024-Oct-25 20:53 |
peervpn-openrc-0.044-r5.apk | 1823 | 2024-Oct-25 20:53 |
peg-0.1.18-r1.apk | 42854 | 2024-Oct-25 20:53 |
peg-doc-0.1.18-r1.apk | 13722 | 2024-Oct-25 20:53 |
pegasus-frontend-16_alpha-r0.apk | 1296544 | 2024-Oct-25 20:53 |
pegasus-frontend-doc-16_alpha-r0.apk | 16350 | 2024-Oct-25 20:53 |
percona-toolkit-3.5.4-r1.apk | 1861880 | 2024-Oct-25 20:53 |
percona-toolkit-doc-3.5.4-r1.apk | 304397 | 2024-Oct-25 20:53 |
perl-adapter-async-0.019-r0.apk | 8061 | 2024-Oct-25 20:53 |
perl-adapter-async-doc-0.019-r0.apk | 16906 | 2024-Oct-25 20:53 |
perl-algorithm-backoff-0.010-r0.apk | 9549 | 2024-Oct-25 20:53 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29915 | 2024-Oct-25 20:53 |
perl-algorithm-c3-0.11-r1.apk | 5528 | 2024-Oct-25 20:53 |
perl-algorithm-c3-doc-0.11-r1.apk | 4956 | 2024-Oct-25 20:53 |
perl-algorithm-cron-0.10-r4.apk | 5986 | 2024-Oct-25 20:53 |
perl-algorithm-cron-doc-0.10-r4.apk | 4439 | 2024-Oct-25 20:53 |
perl-algorithm-evolutionary-0.82.1-r0.apk | 80357 | 2024-Oct-25 20:53 |
perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 151010 | 2024-Oct-25 20:53 |
perl-algorithm-permute-0.17-r1.apk | 13185 | 2025-Jun-30 09:24 |
perl-algorithm-permute-doc-0.17-r1.apk | 4973 | 2025-Jun-30 09:24 |
perl-aliased-0.34-r4.apk | 5528 | 2024-Oct-25 20:53 |
perl-aliased-doc-0.34-r4.apk | 5539 | 2024-Oct-25 20:53 |
perl-alien-base-modulebuild-1.17-r0.apk | 21999 | 2025-Jun-08 16:43 |
perl-alien-base-modulebuild-doc-1.17-r0.apk | 54441 | 2025-Jun-08 16:43 |
perl-alien-libgumbo-0.05-r1.apk | 591232 | 2025-Jun-30 09:24 |
perl-alien-libgumbo-doc-0.05-r1.apk | 4673 | 2025-Jun-30 09:24 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 4964 | 2024-Oct-25 20:53 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 3813 | 2024-Oct-25 20:53 |
perl-anyevent-future-0.05-r0.apk | 5019 | 2024-Oct-25 20:53 |
perl-anyevent-future-doc-0.05-r0.apk | 5289 | 2024-Oct-25 20:53 |
perl-anyevent-riperedis-0.48-r0.apk | 12419 | 2024-Oct-25 20:53 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10284 | 2024-Oct-25 20:53 |
perl-app-a2p-1.013-r0.apk | 49076 | 2025-Jul-21 08:48 |
perl-app-a2p-doc-1.013-r0.apk | 5280 | 2025-Jul-21 08:48 |
perl-app-find2perl-1.005-r0.apk | 8474 | 2025-Jul-21 08:48 |
perl-app-find2perl-doc-1.005-r0.apk | 5762 | 2025-Jul-21 08:48 |
perl-archive-any-lite-0.11-r0.apk | 3956 | 2025-Jul-11 08:48 |
perl-archive-any-lite-doc-0.11-r0.apk | 3517 | 2025-Jul-11 08:48 |
perl-archive-extract-0.88-r1.apk | 15861 | 2024-Oct-25 20:53 |
perl-archive-extract-doc-0.88-r1.apk | 6726 | 2024-Oct-25 20:53 |
perl-array-diff-0.09-r0.apk | 3140 | 2025-Jul-09 21:13 |
perl-array-diff-doc-0.09-r0.apk | 3710 | 2025-Jul-09 21:13 |
perl-asa-1.04-r0.apk | 4156 | 2025-Jun-11 16:35 |
perl-asa-doc-1.04-r0.apk | 4849 | 2025-Jun-11 16:35 |
perl-astro-0.78-r0.apk | 32469 | 2025-Jul-21 08:48 |
perl-astro-coords-0.22-r0.apk | 53582 | 2025-Jul-21 08:48 |
perl-astro-coords-doc-0.22-r0.apk | 41051 | 2025-Jul-21 08:48 |
perl-astro-doc-0.78-r0.apk | 13890 | 2025-Jul-21 08:48 |
perl-astro-montenbruck-1.26-r0.apk | 54445 | 2025-Jul-21 08:48 |
perl-astro-montenbruck-doc-1.26-r0.apk | 60858 | 2025-Jul-21 08:48 |
perl-astro-pal-1.09-r0.apk | 228946 | 2025-Jul-21 08:48 |
perl-astro-pal-doc-1.09-r0.apk | 7274 | 2025-Jul-21 08:48 |
perl-astro-satpass-0.133-r0.apk | 223082 | 2025-Jul-21 08:48 |
perl-astro-satpass-doc-0.133-r0.apk | 135800 | 2025-Jul-21 08:48 |
perl-astro-telescope-0.71-r0.apk | 49228 | 2025-Jul-21 08:48 |
perl-astro-telescope-doc-0.71-r0.apk | 4853 | 2025-Jul-21 08:48 |
perl-autobox-3.0.2-r1.apk | 20058 | 2025-Jun-30 09:24 |
perl-autobox-doc-3.0.2-r1.apk | 8850 | 2025-Jun-30 09:24 |
perl-b-hooks-op-check-0.22-r1.apk | 7009 | 2025-Jun-30 09:24 |
perl-b-hooks-op-check-doc-0.22-r1.apk | 3594 | 2025-Jun-30 09:24 |
perl-b-utils-0.27-r1.apk | 20147 | 2025-Jun-30 09:24 |
perl-b-utils-doc-0.27-r1.apk | 9476 | 2025-Jun-30 09:24 |
perl-badger-0.16-r0.apk | 258916 | 2025-Jun-15 14:55 |
perl-badger-doc-0.16-r0.apk | 266032 | 2025-Jun-15 14:55 |
perl-barcode-zbar-0.10-r4.apk | 29283 | 2025-Jun-30 09:24 |
perl-barcode-zbar-doc-0.10-r4.apk | 12715 | 2025-Jun-30 09:24 |
perl-bareword-filehandles-0.007-r1.apk | 6275 | 2025-Jun-30 09:24 |
perl-bareword-filehandles-doc-0.007-r1.apk | 3050 | 2025-Jun-30 09:24 |
perl-bind-config-parser-0.01-r5.apk | 3704 | 2024-Oct-25 20:53 |
perl-bind-config-parser-doc-0.01-r5.apk | 3448 | 2024-Oct-25 20:53 |
perl-bsd-resource-1.2911-r11.apk | 20949 | 2025-Jun-30 09:24 |
perl-bsd-resource-doc-1.2911-r11.apk | 7854 | 2025-Jun-30 09:24 |
perl-bytes-random-secure-0.29-r0.apk | 14396 | 2024-Oct-25 20:53 |
perl-bytes-random-secure-doc-0.29-r0.apk | 12181 | 2024-Oct-25 20:53 |
perl-cache-lru-0.04-r0.apk | 2771 | 2024-Oct-25 20:53 |
perl-cache-lru-doc-0.04-r0.apk | 3035 | 2024-Oct-25 20:53 |
perl-cairo-1.109-r5.apk | 83242 | 2025-Jun-30 09:24 |
perl-cairo-doc-1.109-r5.apk | 14116 | 2025-Jun-30 09:24 |
perl-cairo-gobject-1.005-r5.apk | 6808 | 2025-Jun-30 09:24 |
perl-cairo-gobject-doc-1.005-r5.apk | 2811 | 2025-Jun-30 09:24 |
perl-carp-assert-more-2.9.0-r0.apk | 8919 | 2025-Apr-13 14:57 |
perl-carp-assert-more-doc-2.9.0-r0.apk | 7709 | 2025-Apr-13 14:57 |
perl-carp-repl-0.18-r0.apk | 5832 | 2025-Apr-26 17:12 |
perl-carp-repl-doc-0.18-r0.apk | 5743 | 2025-Apr-26 17:12 |
perl-catalyst-action-renderview-0.17-r0.apk | 3627 | 2025-Mar-19 14:53 |
perl-catalyst-action-renderview-doc-0.17-r0.apk | 3875 | 2025-Mar-19 14:53 |
perl-catalyst-action-rest-1.21-r0.apk | 25741 | 2025-Mar-31 14:11 |
perl-catalyst-action-rest-doc-1.21-r0.apk | 27454 | 2025-Mar-31 14:11 |
perl-catalyst-actionrole-acl-0.07-r0.apk | 4173 | 2025-May-07 08:00 |
perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5617 | 2025-May-07 08:00 |
perl-catalyst-authentication-credential-http-1.0..> | 8547 | 2025-Apr-04 05:40 |
perl-catalyst-authentication-credential-http-doc..> | 6656 | 2025-Apr-04 05:40 |
perl-catalyst-authentication-store-dbix-class-0...> | 13371 | 2025-Jun-11 16:36 |
perl-catalyst-authentication-store-dbix-class-do..> | 13933 | 2025-Jun-11 16:36 |
perl-catalyst-component-instancepercontext-0.001..> | 2519 | 2025-Jun-19 10:55 |
perl-catalyst-component-instancepercontext-doc-0..> | 3104 | 2025-Jun-19 10:55 |
perl-catalyst-controller-actionrole-0.17-r0.apk | 4837 | 2025-Apr-04 05:42 |
perl-catalyst-controller-actionrole-doc-0.17-r0...> | 4286 | 2025-Apr-04 05:42 |
perl-catalyst-devel-1.42-r0.apk | 55100 | 2025-Mar-20 16:06 |
perl-catalyst-devel-doc-1.42-r0.apk | 11389 | 2025-Mar-20 16:06 |
perl-catalyst-manual-5.9013-r0.apk | 2484 | 2025-Apr-04 05:24 |
perl-catalyst-manual-doc-5.9013-r0.apk | 383867 | 2025-Apr-04 05:24 |
perl-catalyst-model-adaptor-0.10-r0.apk | 6374 | 2025-Apr-13 14:57 |
perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12136 | 2025-Apr-13 14:57 |
perl-catalyst-model-dbic-schema-0.66-r0.apk | 19315 | 2025-Jun-11 16:36 |
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 18070 | 2025-Jun-11 16:36 |
perl-catalyst-plugin-authentication-0.10024-r0.apk | 32729 | 2025-Mar-29 08:34 |
perl-catalyst-plugin-authentication-doc-0.10024-..> | 56980 | 2025-Mar-29 08:34 |
perl-catalyst-plugin-configloader-0.35-r0.apk | 5248 | 2025-Mar-20 16:06 |
perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10300 | 2025-Mar-20 16:06 |
perl-catalyst-plugin-i18n-0.10-r0.apk | 3959 | 2025-Apr-04 08:56 |
perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13332 | 2025-Apr-04 08:56 |
perl-catalyst-plugin-session-0.43-r0.apk | 14512 | 2025-Apr-04 11:57 |
perl-catalyst-plugin-session-doc-0.43-r0.apk | 25476 | 2025-Apr-04 11:57 |
perl-catalyst-plugin-session-state-cookie-0.18-r..> | 4705 | 2025-Apr-20 04:33 |
perl-catalyst-plugin-session-state-cookie-doc-0...> | 4700 | 2025-Apr-20 04:33 |
perl-catalyst-plugin-session-store-dbic-0.14-r0...> | 5758 | 2025-Jun-13 18:18 |
perl-catalyst-plugin-session-store-dbic-doc-0.14..> | 6323 | 2025-Jun-13 18:18 |
perl-catalyst-plugin-session-store-delegate-0.06..> | 4321 | 2025-Jun-13 18:18 |
perl-catalyst-plugin-session-store-delegate-doc-..> | 4372 | 2025-Jun-13 18:18 |
perl-catalyst-plugin-session-store-file-0.18-r0...> | 3467 | 2025-Jun-14 02:47 |
perl-catalyst-plugin-session-store-file-doc-0.18..> | 3842 | 2025-Jun-14 02:47 |
perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4518 | 2025-Jun-14 02:47 |
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3709 | 2025-Jun-14 02:47 |
perl-catalyst-plugin-static-simple-0.37-r0.apk | 8637 | 2025-Mar-20 16:06 |
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7323 | 2025-Mar-20 16:06 |
perl-catalyst-runtime-5.90132-r0.apk | 153012 | 2025-Mar-19 13:45 |
perl-catalyst-runtime-doc-5.90132-r0.apk | 221269 | 2025-Mar-19 13:45 |
perl-catalyst-view-email-0.36-r0.apk | 9031 | 2025-Apr-04 08:56 |
perl-catalyst-view-email-doc-0.36-r0.apk | 10748 | 2025-Apr-04 08:56 |
perl-catalyst-view-tt-0.46-r0.apk | 13678 | 2025-Apr-12 15:52 |
perl-catalyst-view-tt-doc-0.46-r0.apk | 12735 | 2025-Apr-12 15:52 |
perl-catalystx-component-traits-0.19-r0.apk | 4157 | 2025-May-07 08:00 |
perl-catalystx-component-traits-doc-0.19-r0.apk | 3827 | 2025-May-07 08:00 |
perl-catalystx-injectcomponent-0.025-r0.apk | 3233 | 2025-May-04 02:50 |
perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3408 | 2025-May-04 02:50 |
perl-catalystx-leakchecker-0.06-r0.apk | 3191 | 2025-Jun-15 04:51 |
perl-catalystx-leakchecker-doc-0.06-r0.apk | 3335 | 2025-Jun-15 04:51 |
perl-catalystx-profile-0.02-r0.apk | 2884 | 2025-Jun-15 04:51 |
perl-catalystx-profile-doc-0.02-r0.apk | 4385 | 2025-Jun-15 04:51 |
perl-catalystx-repl-0.04-r0.apk | 3116 | 2025-Apr-27 15:07 |
perl-catalystx-repl-doc-0.04-r0.apk | 3441 | 2025-Apr-27 15:07 |
perl-catalystx-simplelogin-0.21-r0.apk | 10674 | 2025-May-07 08:00 |
perl-catalystx-simplelogin-doc-0.21-r0.apk | 24503 | 2025-May-07 08:00 |
perl-cgi-expand-2.05-r4.apk | 6771 | 2024-Oct-25 20:53 |
perl-cgi-expand-doc-2.05-r4.apk | 6044 | 2024-Oct-25 20:53 |
perl-cgi-simple-1.282-r0.apk | 56698 | 2025-Aug-30 04:20 |
perl-cgi-simple-doc-1.282-r0.apk | 43495 | 2025-Aug-30 04:20 |
perl-cgi-struct-1.21-r0.apk | 7755 | 2025-Mar-14 17:36 |
perl-cgi-struct-doc-1.21-r0.apk | 6676 | 2025-Mar-14 17:36 |
perl-check-unitcheck-0.13-r2.apk | 6337 | 2025-Jun-30 09:24 |
perl-check-unitcheck-doc-0.13-r2.apk | 3433 | 2025-Jun-30 09:24 |
perl-class-accessor-grouped-0.10014-r2.apk | 12043 | 2024-Oct-25 20:53 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7391 | 2024-Oct-25 20:53 |
perl-class-c3-0.35-r1.apk | 9417 | 2024-Oct-25 20:53 |
perl-class-c3-adopt-next-0.14-r0.apk | 4935 | 2025-Mar-14 17:36 |
perl-class-c3-adopt-next-doc-0.14-r0.apk | 4521 | 2025-Mar-14 17:36 |
perl-class-c3-componentised-1.001002-r2.apk | 5392 | 2024-Oct-25 20:53 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5200 | 2024-Oct-25 20:53 |
perl-class-c3-doc-0.35-r1.apk | 9238 | 2024-Oct-25 20:53 |
perl-class-inner-0.200001-r5.apk | 3780 | 2024-Oct-25 20:53 |
perl-class-inner-doc-0.200001-r5.apk | 3945 | 2024-Oct-25 20:53 |
perl-class-unload-0.11-r0.apk | 2385 | 2025-Jun-05 23:38 |
perl-class-unload-doc-0.11-r0.apk | 2876 | 2025-Jun-05 23:38 |
perl-cli-osprey-0.08-r0.apk | 12789 | 2024-Dec-28 04:55 |
perl-cli-osprey-doc-0.08-r0.apk | 12077 | 2024-Dec-28 04:55 |
perl-clipboard-0.32-r0.apk | 10438 | 2025-May-18 15:45 |
perl-clipboard-doc-0.32-r0.apk | 27184 | 2025-May-18 15:45 |
perl-color-ansi-util-0.165-r0.apk | 7193 | 2024-Oct-25 20:53 |
perl-color-ansi-util-doc-0.165-r0.apk | 5019 | 2024-Oct-25 20:53 |
perl-color-rgb-util-0.609-r0.apk | 9418 | 2025-Mar-19 13:45 |
perl-color-rgb-util-doc-0.609-r0.apk | 7271 | 2025-Mar-19 13:45 |
perl-conf-libconfig-1.0.3-r2.apk | 24727 | 2025-Jun-30 09:24 |
perl-conf-libconfig-doc-1.0.3-r2.apk | 5370 | 2025-Jun-30 09:24 |
perl-constant-defer-6-r5.apk | 7315 | 2024-Oct-25 20:53 |
perl-constant-defer-doc-6-r5.apk | 6851 | 2024-Oct-25 20:53 |
perl-constant-generate-0.17-r5.apk | 8699 | 2024-Oct-25 20:53 |
perl-constant-generate-doc-0.17-r5.apk | 6949 | 2024-Oct-25 20:53 |
perl-context-preserve-0.03-r4.apk | 3694 | 2024-Oct-25 20:53 |
perl-context-preserve-doc-0.03-r4.apk | 4051 | 2024-Oct-25 20:53 |
perl-cpan-changes-0.500005-r0.apk | 13458 | 2025-Aug-16 14:46 |
perl-cpan-changes-doc-0.500005-r0.apk | 18515 | 2025-Aug-16 14:46 |
perl-cpansa-db-20250807.001-r0.apk | 1350472 | 2025-Sep-01 13:16 |
perl-cpansa-db-doc-20250807.001-r0.apk | 5441 | 2025-Sep-01 13:16 |
perl-crypt-blowfish-2.14-r1.apk | 13070 | 2025-Jun-30 09:24 |
perl-crypt-blowfish-doc-2.14-r1.apk | 3906 | 2025-Jun-30 09:24 |
perl-crypt-random-seed-0.03-r0.apk | 11242 | 2024-Oct-25 20:53 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8755 | 2024-Oct-25 20:53 |
perl-crypt-saltedhash-0.09-r5.apk | 6851 | 2024-Oct-25 20:53 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6250 | 2024-Oct-25 20:53 |
perl-css-inliner-4027-r0.apk | 15937 | 2025-Jun-16 11:51 |
perl-css-inliner-doc-4027-r0.apk | 9400 | 2025-Jun-16 11:51 |
perl-css-object-0.2.0-r0.apk | 23037 | 2024-Oct-25 20:53 |
perl-css-object-doc-0.2.0-r0.apk | 33075 | 2024-Oct-25 20:53 |
perl-daemon-control-0.001010-r2.apk | 12413 | 2024-Oct-25 20:53 |
perl-daemon-control-doc-0.001010-r2.apk | 8188 | 2024-Oct-25 20:53 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15407 | 2024-Oct-25 20:53 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14905 | 2024-Oct-25 20:53 |
perl-dancer-plugin-dbic-0.2104-r5.apk | 4777 | 2024-Oct-25 20:53 |
perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5218 | 2024-Oct-25 20:53 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9667 | 2024-Oct-25 20:53 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8395 | 2024-Oct-25 20:53 |
perl-dancer-session-cookie-0.30-r2.apk | 5321 | 2024-Oct-25 20:53 |
perl-dancer-session-cookie-doc-0.30-r2.apk | 4011 | 2024-Oct-25 20:53 |
perl-dancer2-1.1.2-r0.apk | 166683 | 2024-Dec-28 04:55 |
perl-dancer2-doc-1.1.2-r0.apk | 307726 | 2024-Dec-28 04:55 |
perl-data-binary-0.01-r0.apk | 2569 | 2025-Jul-09 21:13 |
perl-data-binary-doc-0.01-r0.apk | 2892 | 2025-Jul-09 21:13 |
perl-data-checks-0.10-r1.apk | 24678 | 2025-Jun-30 09:24 |
perl-data-checks-doc-0.10-r1.apk | 8197 | 2025-Jun-30 09:24 |
perl-data-clone-0.006-r1.apk | 10359 | 2025-Jun-30 09:24 |
perl-data-clone-doc-0.006-r1.apk | 4379 | 2025-Jun-30 09:24 |
perl-data-dump-streamer-2.42-r1.apk | 51587 | 2025-Jun-30 09:24 |
perl-data-dump-streamer-doc-2.42-r1.apk | 17410 | 2025-Jun-30 09:24 |
perl-data-section-0.200008-r0.apk | 6307 | 2025-Jul-07 17:03 |
perl-data-section-doc-0.200008-r0.apk | 5489 | 2025-Jul-07 17:03 |
perl-data-validate-domain-0.15-r0.apk | 5718 | 2024-Oct-25 20:53 |
perl-data-validate-domain-doc-0.15-r0.apk | 5338 | 2024-Oct-25 20:53 |
perl-data-validate-ip-0.31-r1.apk | 8770 | 2024-Oct-25 20:53 |
perl-data-validate-ip-doc-0.31-r1.apk | 5733 | 2024-Oct-25 20:53 |
perl-data-visitor-0.32-r0.apk | 9741 | 2025-Mar-19 14:53 |
perl-data-visitor-doc-0.32-r0.apk | 8243 | 2025-Mar-19 14:53 |
perl-database-async-0.019-r0.apk | 23095 | 2024-Oct-25 20:53 |
perl-database-async-doc-0.019-r0.apk | 29500 | 2024-Oct-25 20:53 |
perl-database-async-engine-postgresql-1.005-r0.apk | 14014 | 2024-Oct-25 20:53 |
perl-database-async-engine-postgresql-doc-1.005-..> | 9274 | 2024-Oct-25 20:53 |
perl-date-range-1.41-r0.apk | 3578 | 2025-Jul-08 16:55 |
perl-date-range-doc-1.41-r0.apk | 3874 | 2025-Jul-08 16:55 |
perl-datetime-astro-1.04-r0.apk | 36639 | 2025-Jul-21 08:48 |
perl-datetime-astro-doc-1.04-r0.apk | 5893 | 2025-Jul-21 08:48 |
perl-datetime-format-atom-1.8.0-r0.apk | 2961 | 2025-Jan-05 15:08 |
perl-datetime-format-atom-doc-1.8.0-r0.apk | 3595 | 2025-Jan-05 15:08 |
perl-datetime-format-flexible-0.37-r0.apk | 18216 | 2024-Dec-31 13:29 |
perl-datetime-format-flexible-doc-0.37-r0.apk | 12143 | 2024-Dec-31 13:29 |
perl-datetime-format-rfc3339-1.10.0-r0.apk | 4227 | 2025-Jan-05 15:08 |
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 3974 | 2025-Jan-05 15:08 |
perl-datetime-set-0.3900-r0.apk | 20131 | 2025-Jul-21 08:48 |
perl-datetime-set-doc-0.3900-r0.apk | 18016 | 2025-Jul-21 08:48 |
perl-datetime-timezone-alias-0.06-r0.apk | 2331 | 2024-Oct-25 20:53 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7545 | 2024-Oct-25 20:53 |
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 11827 | 2024-Oct-25 20:53 |
perl-datetime-timezone-catalog-extend-doc-0.3.3-..> | 15129 | 2024-Oct-25 20:53 |
perl-dbicx-sugar-0.0200-r5.apk | 5766 | 2024-Oct-25 20:53 |
perl-dbicx-sugar-doc-0.0200-r5.apk | 5196 | 2024-Oct-25 20:53 |
perl-dbix-class-0.082844-r0.apk | 363741 | 2025-Jan-16 17:28 |
perl-dbix-class-candy-0.005004-r0.apk | 7795 | 2024-Oct-30 06:01 |
perl-dbix-class-candy-doc-0.005004-r0.apk | 9443 | 2024-Oct-30 06:01 |
perl-dbix-class-cursor-cached-1.001004-r0.apk | 3027 | 2025-Jun-09 16:28 |
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 2856 | 2025-Jun-09 16:28 |
perl-dbix-class-doc-0.082844-r0.apk | 430328 | 2025-Jan-16 17:28 |
perl-dbix-class-helpers-2.037000-r0.apk | 48595 | 2024-Nov-17 04:09 |
perl-dbix-class-helpers-doc-2.037000-r0.apk | 124009 | 2024-Nov-17 04:09 |
perl-dbix-class-schema-loader-0.07053-r0.apk | 99447 | 2025-Jun-09 16:28 |
perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 78919 | 2025-Jun-09 16:28 |
perl-dbix-connector-0.60-r0.apk | 14834 | 2024-Dec-30 06:38 |
perl-dbix-connector-doc-0.60-r0.apk | 22567 | 2024-Dec-30 06:38 |
perl-dbix-datasource-0.02-r5.apk | 4137 | 2024-Oct-25 20:53 |
perl-dbix-datasource-doc-0.02-r5.apk | 7451 | 2024-Oct-25 20:53 |
perl-dbix-introspector-0.001005-r4.apk | 7976 | 2024-Oct-25 20:53 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8397 | 2024-Oct-25 20:53 |
perl-dbix-lite-0.36-r0.apk | 18183 | 2024-Dec-30 06:38 |
perl-dbix-lite-doc-0.36-r0.apk | 17672 | 2024-Dec-30 06:38 |
perl-devel-confess-0.009004-r0.apk | 11433 | 2024-Oct-25 20:53 |
perl-devel-confess-doc-0.009004-r0.apk | 6546 | 2024-Oct-25 20:53 |
perl-devel-findperl-0.016-r0.apk | 4571 | 2025-Jun-08 16:43 |
perl-devel-findperl-doc-0.016-r0.apk | 3662 | 2025-Jun-08 16:43 |
perl-devel-leak-0.03-r14.apk | 7336 | 2025-Jun-30 09:24 |
perl-devel-leak-doc-0.03-r14.apk | 3165 | 2025-Jun-30 09:24 |
perl-devel-nytprof-6.14-r1.apk | 409696 | 2025-Jun-30 09:24 |
perl-devel-nytprof-doc-6.14-r1.apk | 51477 | 2025-Jun-30 09:24 |
perl-devel-refcount-0.10-r2.apk | 6347 | 2025-Jun-30 09:24 |
perl-devel-refcount-doc-0.10-r2.apk | 4138 | 2025-Jun-30 09:24 |
perl-devel-repl-1.003029-r0.apk | 28762 | 2025-Apr-24 14:50 |
perl-devel-repl-doc-1.003029-r0.apk | 61327 | 2025-Apr-24 14:50 |
perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3482 | 2025-Apr-26 10:22 |
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3242 | 2025-Apr-26 10:22 |
perl-devel-trace-0.12-r0.apk | 3176 | 2025-Jul-06 19:18 |
perl-devel-trace-doc-0.12-r0.apk | 3292 | 2025-Jul-06 19:18 |
perl-digest-bcrypt-1.212-r1.apk | 5447 | 2024-Oct-25 20:53 |
perl-digest-bcrypt-doc-1.212-r1.apk | 5037 | 2024-Oct-25 20:53 |
perl-digest-crc-0.24-r2.apk | 9846 | 2025-Jun-30 09:24 |
perl-digest-crc-doc-0.24-r2.apk | 3098 | 2025-Jun-30 09:24 |
perl-dns-unbound-0.29-r2.apk | 23959 | 2025-Jun-30 09:24 |
perl-dns-unbound-anyevent-0.29-r2.apk | 2133 | 2025-Jun-30 09:24 |
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1724 | 2025-Jun-30 09:24 |
perl-dns-unbound-doc-0.29-r2.apk | 15505 | 2025-Jun-30 09:24 |
perl-dns-unbound-ioasync-0.29-r2.apk | 2210 | 2025-Jun-30 09:24 |
perl-dns-unbound-mojo-0.29-r2.apk | 2449 | 2025-Jun-30 09:24 |
perl-email-abstract-3.010-r0.apk | 7568 | 2024-Oct-25 20:53 |
perl-email-abstract-doc-3.010-r0.apk | 12939 | 2024-Oct-25 20:53 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 3797 | 2024-Oct-25 20:53 |
perl-email-mime-attachment-stripper-doc-1.317-r5..> | 3643 | 2024-Oct-25 20:53 |
perl-email-reply-1.204-r5.apk | 6023 | 2024-Oct-25 20:53 |
perl-email-reply-doc-1.204-r5.apk | 4654 | 2024-Oct-25 20:53 |
perl-email-sender-2.601-r0.apk | 24989 | 2025-Apr-04 08:56 |
perl-email-sender-doc-2.601-r0.apk | 42841 | 2025-Apr-04 08:56 |
perl-encode-detect-1.01-r1.apk | 76461 | 2025-Jun-30 09:24 |
perl-encode-detect-doc-1.01-r1.apk | 4696 | 2025-Jun-30 09:24 |
perl-ev-hiredis-0.07-r3.apk | 13297 | 2025-Jun-30 09:24 |
perl-ev-hiredis-doc-0.07-r3.apk | 4070 | 2025-Jun-30 09:24 |
perl-expect-1.38-r0.apk | 32960 | 2025-Apr-19 19:02 |
perl-expect-doc-1.38-r0.apk | 20514 | 2025-Apr-19 19:02 |
perl-expect-simple-0.04-r0.apk | 5357 | 2025-Apr-20 04:38 |
perl-expect-simple-doc-0.04-r0.apk | 4736 | 2025-Apr-20 04:38 |
perl-extutils-makemaker-7.76-r0.apk | 178129 | 2025-Aug-16 14:46 |
perl-extutils-xsbuilder-0.28-r5.apk | 43829 | 2024-Oct-25 20:53 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 21377 | 2024-Oct-25 20:53 |
perl-feed-find-0.13-r0.apk | 3679 | 2024-Oct-25 20:53 |
perl-feed-find-doc-0.13-r0.apk | 3567 | 2024-Oct-25 20:53 |
perl-ffi-c-0.15-r0.apk | 20084 | 2024-Oct-25 20:53 |
perl-ffi-c-doc-0.15-r0.apk | 29019 | 2024-Oct-25 20:53 |
perl-ffi-platypus-2.10-r1.apk | 183280 | 2025-Jun-30 09:24 |
perl-ffi-platypus-doc-2.10-r1.apk | 151255 | 2025-Jun-30 09:24 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 5065 | 2024-Oct-25 20:53 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5019 | 2024-Oct-25 20:53 |
perl-file-changenotify-0.31-r0.apk | 12129 | 2025-Mar-20 16:06 |
perl-file-changenotify-doc-0.31-r0.apk | 14077 | 2025-Mar-20 16:06 |
perl-file-find-object-0.3.9-r0.apk | 9111 | 2025-Jul-11 16:50 |
perl-file-find-object-doc-0.3.9-r0.apk | 13255 | 2025-Jul-11 16:50 |
perl-file-mmagic-xs-0.09008-r5.apk | 32440 | 2025-Jun-30 09:24 |
perl-file-mmagic-xs-doc-0.09008-r5.apk | 4098 | 2025-Jun-30 09:24 |
perl-file-rename-2.02-r0.apk | 7454 | 2024-Oct-25 20:53 |
perl-file-rename-doc-2.02-r0.apk | 12108 | 2024-Oct-25 20:53 |
perl-file-treecreate-0.0.1-r0.apk | 3849 | 2025-Jul-11 08:48 |
perl-file-treecreate-doc-0.0.1-r0.apk | 4239 | 2025-Jul-11 08:48 |
perl-finance-quote-1.66-r0.apk | 108088 | 2025-Jul-12 13:45 |
perl-finance-quote-doc-1.66-r0.apk | 89465 | 2025-Jul-12 13:45 |
perl-flowd-0.9.1-r11.apk | 20978 | 2025-Jun-30 09:24 |
perl-flowd-doc-0.9.1-r11.apk | 3065 | 2025-Jun-30 09:24 |
perl-freezethaw-0.5001-r2.apk | 9814 | 2024-Oct-25 20:53 |
perl-freezethaw-doc-0.5001-r2.apk | 5532 | 2024-Oct-25 20:53 |
perl-full-1.004-r0.apk | 7023 | 2024-Oct-25 20:53 |
perl-full-doc-1.004-r0.apk | 10277 | 2024-Oct-25 20:53 |
perl-future-asyncawait-hooks-0.02-r1.apk | 8789 | 2025-Jun-30 09:24 |
perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3155 | 2025-Jun-30 09:24 |
perl-future-http-0.17-r0.apk | 9194 | 2024-Oct-25 20:53 |
perl-future-http-doc-0.17-r0.apk | 15789 | 2024-Oct-25 20:53 |
perl-future-q-0.120-r0.apk | 9570 | 2024-Oct-25 20:53 |
perl-future-q-doc-0.120-r0.apk | 9068 | 2024-Oct-25 20:53 |
perl-future-queue-0.52-r0.apk | 3918 | 2024-Oct-25 20:53 |
perl-future-queue-doc-0.52-r0.apk | 4110 | 2024-Oct-25 20:53 |
perl-gearman-2.004.015-r3.apk | 27856 | 2024-Oct-25 20:53 |
perl-gearman-doc-2.004.015-r3.apk | 19992 | 2024-Oct-25 20:53 |
perl-getopt-long-descriptive-0.116-r0.apk | 14719 | 2024-Dec-31 09:40 |
perl-getopt-long-descriptive-doc-0.116-r0.apk | 10986 | 2024-Dec-31 09:40 |
perl-getopt-tabular-0.3-r4.apk | 23534 | 2024-Oct-25 20:53 |
perl-getopt-tabular-doc-0.3-r4.apk | 16882 | 2024-Oct-25 20:53 |
perl-git-raw-0.90-r4.apk | 179891 | 2025-Jun-30 09:24 |
perl-git-raw-doc-0.90-r4.apk | 121875 | 2025-Jun-30 09:24 |
perl-git-repository-1.325-r0.apk | 16468 | 2024-Oct-25 20:53 |
perl-git-repository-doc-1.325-r0.apk | 31993 | 2024-Oct-25 20:53 |
perl-git-version-compare-1.005-r0.apk | 5269 | 2024-Oct-25 20:53 |
perl-git-version-compare-doc-1.005-r0.apk | 4717 | 2024-Oct-25 20:53 |
perl-glib-ex-objectbits-17-r0.apk | 15142 | 2024-Oct-25 20:53 |
perl-glib-ex-objectbits-doc-17-r0.apk | 22663 | 2024-Oct-25 20:53 |
perl-glib-object-introspection-0.051-r2.apk | 64801 | 2025-Jun-30 09:24 |
perl-glib-object-introspection-doc-0.051-r2.apk | 11164 | 2025-Jun-30 09:24 |
perl-graphql-client-0.605-r0.apk | 7054 | 2024-Oct-25 20:53 |
perl-graphql-client-cli-0.605-r0.apk | 7743 | 2024-Oct-25 20:53 |
perl-graphql-client-doc-0.605-r0.apk | 14049 | 2024-Oct-25 20:53 |
perl-gtk2-1.24993-r7.apk | 905116 | 2025-Jun-30 09:24 |
perl-gtk2-doc-1.24993-r7.apk | 694597 | 2025-Jun-30 09:24 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12795 | 2024-Oct-25 20:53 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7204 | 2024-Oct-25 20:53 |
perl-gtk2-ex-widgetbits-48-r3.apk | 67025 | 2024-Oct-25 20:53 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 82908 | 2024-Oct-25 20:53 |
perl-gtk3-0.038-r1.apk | 19848 | 2024-Oct-25 20:53 |
perl-gtk3-doc-0.038-r1.apk | 9112 | 2024-Oct-25 20:53 |
perl-guard-1.023-r10.apk | 8925 | 2025-Jun-30 09:24 |
perl-guard-doc-1.023-r10.apk | 5204 | 2025-Jun-30 09:24 |
perl-hash-merge-extra-0.06-r0.apk | 2944 | 2025-Jun-20 15:19 |
perl-hash-merge-extra-doc-0.06-r0.apk | 3182 | 2025-Jun-20 15:19 |
perl-hash-ordered-0.014-r0.apk | 9762 | 2024-Oct-25 20:53 |
perl-hash-ordered-doc-0.014-r0.apk | 19434 | 2024-Oct-25 20:53 |
perl-html-formatexternal-26-r0.apk | 17217 | 2025-Jun-07 14:55 |
perl-html-formatexternal-doc-26-r0.apk | 23293 | 2025-Jun-07 14:55 |
perl-html-formhandler-0.40068-r0.apk | 138453 | 2025-May-04 02:50 |
perl-html-formhandler-doc-0.40068-r0.apk | 330719 | 2025-May-04 02:50 |
perl-html-gumbo-0.18-r2.apk | 15969 | 2025-Jun-30 09:24 |
perl-html-gumbo-doc-0.18-r2.apk | 5292 | 2025-Jun-30 09:24 |
perl-html-object-0.5.1-r0.apk | 356437 | 2024-Oct-25 20:53 |
perl-html-object-doc-0.5.1-r0.apk | 482913 | 2024-Oct-25 20:53 |
perl-html-query-0.09-r0.apk | 13880 | 2025-Jun-16 11:51 |
perl-html-query-doc-0.09-r0.apk | 10075 | 2025-Jun-16 11:51 |
perl-html-selector-xpath-0.28-r0.apk | 6098 | 2024-Oct-25 20:53 |
perl-html-selector-xpath-doc-0.28-r0.apk | 3904 | 2024-Oct-25 20:53 |
perl-html-tableextract-2.15-r4.apk | 17838 | 2024-Oct-25 20:53 |
perl-html-tableextract-doc-2.15-r4.apk | 9891 | 2024-Oct-25 20:53 |
perl-html-treebuilder-xpath-0.14-r0.apk | 7724 | 2025-Jul-09 21:13 |
perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4046 | 2025-Jul-09 21:13 |
perl-http-headers-actionpack-0.09-r0.apk | 18165 | 2025-Jun-13 18:18 |
perl-http-headers-actionpack-doc-0.09-r0.apk | 41002 | 2025-Jun-13 18:18 |
perl-http-thin-0.006-r0.apk | 2892 | 2024-Oct-25 20:53 |
perl-http-thin-doc-0.006-r0.apk | 3265 | 2024-Oct-25 20:53 |
perl-http-xsheaders-0.400005-r2.apk | 22796 | 2025-Jun-30 09:24 |
perl-http-xsheaders-doc-0.400005-r2.apk | 6250 | 2025-Jun-30 09:24 |
perl-i18n-langinfo-wide-9-r4.apk | 4051 | 2024-Oct-25 20:53 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 3919 | 2024-Oct-25 20:53 |
perl-imager-1.028-r1.apk | 579381 | 2025-Jun-30 09:24 |
perl-imager-doc-1.028-r1.apk | 293036 | 2025-Jun-30 09:24 |
perl-indirect-0.39-r2.apk | 16884 | 2025-Jun-30 09:24 |
perl-indirect-doc-0.39-r2.apk | 6297 | 2025-Jun-30 09:24 |
perl-io-handle-util-0.02-r0.apk | 10614 | 2025-Jun-11 16:35 |
perl-io-handle-util-doc-0.02-r0.apk | 9787 | 2025-Jun-11 16:35 |
perl-io-interactive-1.027-r0.apk | 5175 | 2025-Sep-01 13:16 |
perl-io-interactive-doc-1.027-r0.apk | 5056 | 2025-Sep-01 13:16 |
perl-io-lambda-1.34-r0.apk | 77000 | 2024-Oct-25 20:53 |
perl-io-lambda-doc-1.34-r0.apk | 69310 | 2024-Oct-25 20:53 |
perl-io-sessiondata-1.03-r3.apk | 5628 | 2024-Oct-25 20:53 |
perl-json-maybeutf8-2.000-r0.apk | 2934 | 2024-Oct-25 20:53 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3379 | 2024-Oct-25 20:53 |
perl-json-validator-5.15-r0.apk | 59283 | 2025-Mar-19 13:45 |
perl-json-validator-doc-5.15-r0.apk | 34360 | 2025-Mar-19 13:45 |
perl-lexical-persistence-1.023-r0.apk | 7426 | 2025-Apr-26 08:14 |
perl-lexical-persistence-doc-1.023-r0.apk | 7488 | 2025-Apr-26 08:14 |
perl-lib-abs-0.95-r0.apk | 3686 | 2024-Oct-25 20:53 |
perl-lib-abs-doc-0.95-r0.apk | 3786 | 2024-Oct-25 20:53 |
perl-libapreq2-2.17-r3.apk | 115351 | 2025-Jun-30 09:24 |
perl-libapreq2-dev-2.17-r3.apk | 60620 | 2025-Jun-30 09:24 |
perl-libapreq2-doc-2.17-r3.apk | 37906 | 2025-Jun-30 09:24 |
perl-libintl-perl-1.35-r0.apk | 311974 | 2025-Jan-16 13:36 |
perl-libintl-perl-doc-1.35-r0.apk | 584863 | 2025-Jan-16 13:36 |
perl-lingua-en-findnumber-1.32-r0.apk | 3066 | 2025-Jun-08 16:43 |
perl-lingua-en-findnumber-doc-1.32-r0.apk | 3300 | 2025-Jun-08 16:43 |
perl-lingua-en-inflect-number-1.12-r0.apk | 3015 | 2025-Jun-08 16:43 |
perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3379 | 2025-Jun-08 16:43 |
perl-lingua-en-inflect-phrase-0.20-r0.apk | 5179 | 2025-Jun-09 12:07 |
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3729 | 2025-Jun-09 12:07 |
perl-lingua-en-number-isordinal-0.05-r0.apk | 2865 | 2025-Jun-08 16:43 |
perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3262 | 2025-Jun-08 16:43 |
perl-lingua-en-tagger-0.31-r0.apk | 558601 | 2025-Jun-08 16:43 |
perl-lingua-en-tagger-doc-0.31-r0.apk | 4294 | 2025-Jun-08 16:43 |
perl-lingua-en-words2nums-0.18-r0.apk | 4440 | 2025-Jun-07 14:56 |
perl-lingua-en-words2nums-doc-0.18-r0.apk | 3336 | 2025-Jun-07 14:56 |
perl-lingua-pt-stemmer-0.02-r0.apk | 5290 | 2025-Jun-07 02:00 |
perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4118 | 2025-Jun-07 02:00 |
perl-lingua-stem-2.31-r0.apk | 12408 | 2025-Jun-08 16:43 |
perl-lingua-stem-doc-2.31-r0.apk | 34124 | 2025-Jun-08 16:43 |
perl-lingua-stem-fr-0.02-r0.apk | 6011 | 2025-Jun-06 14:57 |
perl-lingua-stem-fr-doc-0.02-r0.apk | 3762 | 2025-Jun-06 14:57 |
perl-lingua-stem-it-0.02-r0.apk | 5079 | 2025-Jun-06 14:57 |
perl-lingua-stem-it-doc-0.02-r0.apk | 3360 | 2025-Jun-06 14:57 |
perl-lingua-stem-ru-0.04-r0.apk | 3949 | 2025-Jun-06 14:57 |
perl-lingua-stem-ru-doc-0.04-r0.apk | 3570 | 2025-Jun-06 14:57 |
perl-lingua-stem-snowball-da-1.01-r0.apk | 4167 | 2025-Jun-08 16:43 |
perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 2838 | 2025-Jun-08 16:43 |
perl-linux-pid-0.04-r15.apk | 4900 | 2025-Jun-30 09:24 |
perl-linux-pid-doc-0.04-r15.apk | 2876 | 2025-Jun-30 09:24 |
perl-list-binarysearch-0.25-r0.apk | 9929 | 2024-Oct-25 20:53 |
perl-list-binarysearch-doc-0.25-r0.apk | 11556 | 2024-Oct-25 20:53 |
perl-list-binarysearch-xs-0.09-r2.apk | 12640 | 2025-Jun-30 09:24 |
perl-list-binarysearch-xs-doc-0.09-r2.apk | 8066 | 2025-Jun-30 09:24 |
perl-list-keywords-0.11-r1.apk | 16146 | 2025-Jun-30 09:24 |
perl-list-keywords-doc-0.11-r1.apk | 5443 | 2025-Jun-30 09:24 |
perl-log-fu-0.31-r4.apk | 10491 | 2024-Oct-25 20:53 |
perl-log-fu-doc-0.31-r4.apk | 7168 | 2024-Oct-25 20:53 |
perl-log-message-0.08-r3.apk | 10594 | 2024-Oct-25 20:53 |
perl-log-message-doc-0.08-r3.apk | 12176 | 2024-Oct-25 20:53 |
perl-log-message-simple-0.10-r3.apk | 4047 | 2024-Oct-25 20:53 |
perl-log-message-simple-doc-0.10-r3.apk | 3778 | 2024-Oct-25 20:53 |
perl-lwp-online-1.08-r0.apk | 6030 | 2024-Oct-25 20:53 |
perl-lwp-online-doc-1.08-r0.apk | 5563 | 2024-Oct-25 20:53 |
perl-lwp-useragent-cached-0.08-r1.apk | 6274 | 2024-Oct-25 20:53 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5525 | 2024-Oct-25 20:53 |
perl-mastodon-client-0.017-r0.apk | 22324 | 2024-Oct-25 20:53 |
perl-mastodon-client-doc-0.017-r0.apk | 33505 | 2024-Oct-25 20:53 |
perl-math-int64-0.57-r2.apk | 29239 | 2025-Jun-30 09:24 |
perl-math-int64-doc-0.57-r2.apk | 10521 | 2025-Jun-30 09:24 |
perl-math-libm-1.00-r15.apk | 11386 | 2025-Jun-30 09:24 |
perl-math-libm-doc-1.00-r15.apk | 3021 | 2025-Jun-30 09:24 |
perl-math-random-0.72-r1.apk | 38716 | 2025-Jun-30 09:24 |
perl-math-random-doc-0.72-r1.apk | 10908 | 2025-Jun-30 09:24 |
perl-math-random-isaac-xs-1.004-r9.apk | 8304 | 2025-Jun-30 09:24 |
perl-math-random-isaac-xs-doc-1.004-r9.apk | 3660 | 2025-Jun-30 09:24 |
perl-mce-1.901-r0.apk | 137288 | 2025-Jan-03 04:23 |
perl-mce-doc-1.901-r0.apk | 173469 | 2025-Jan-03 04:23 |
perl-memoize-expirelru-0.56-r0.apk | 6106 | 2025-Jun-08 16:43 |
perl-memoize-expirelru-doc-0.56-r0.apk | 3668 | 2025-Jun-08 16:43 |
perl-minion-11.0-r0.apk | 1575221 | 2025-Aug-27 09:26 |
perl-minion-backend-pg-11.0-r0.apk | 9532 | 2025-Aug-27 09:26 |
perl-minion-backend-redis-0.003-r0.apk | 10490 | 2024-Oct-25 20:53 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6471 | 2024-Oct-25 20:53 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 10098 | 2024-Oct-25 20:53 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6674 | 2024-Oct-25 20:53 |
perl-minion-doc-11.0-r0.apk | 51563 | 2025-Aug-27 09:26 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3254 | 2024-Oct-25 20:53 |
perl-module-build-prereqs-fromcpanfile-doc-0.02-..> | 3598 | 2024-Oct-25 20:53 |
perl-module-cpants-analyse-1.02-r0.apk | 26891 | 2025-Jul-12 13:45 |
perl-module-cpants-analyse-doc-1.02-r0.apk | 28708 | 2025-Jul-12 13:45 |
perl-module-generic-1.0.4-r0.apk | 318644 | 2025-Aug-30 17:11 |
perl-module-generic-doc-1.0.4-r0.apk | 261020 | 2025-Aug-30 17:11 |
perl-module-path-0.19-r0.apk | 4563 | 2025-Jun-08 16:43 |
perl-module-path-doc-0.19-r0.apk | 5675 | 2025-Jun-08 16:43 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4581 | 2024-Oct-25 20:53 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4219 | 2024-Oct-25 20:53 |
perl-mojo-redis-3.29-r0.apk | 25517 | 2024-Oct-25 20:53 |
perl-mojo-redis-doc-3.29-r0.apk | 24932 | 2024-Oct-25 20:53 |
perl-mojo-sqlite-3.009-r0.apk | 16071 | 2024-Oct-25 20:53 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19559 | 2024-Oct-25 20:53 |
perl-mojolicious-plugin-openapi-5.11-r0.apk | 28780 | 2025-Mar-19 13:45 |
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 33997 | 2025-Mar-19 13:45 |
perl-moosex-configfromfile-0.14-r0.apk | 3919 | 2025-Mar-16 04:16 |
perl-moosex-configfromfile-doc-0.14-r0.apk | 3986 | 2025-Mar-16 04:16 |
perl-moosex-emulate-class-accessor-fast-0.009032..> | 5298 | 2025-Mar-16 04:16 |
perl-moosex-emulate-class-accessor-fast-doc-0.00..> | 5205 | 2025-Mar-16 04:16 |
perl-moosex-getopt-0.78-r0.apk | 13680 | 2025-Mar-16 04:16 |
perl-moosex-getopt-doc-0.78-r0.apk | 25047 | 2025-Mar-16 04:16 |
perl-moosex-markasmethods-0.15-r0.apk | 4965 | 2025-Jun-05 23:38 |
perl-moosex-markasmethods-doc-0.15-r0.apk | 5065 | 2025-Jun-05 23:38 |
perl-moosex-methodattributes-0.32-r0.apk | 8493 | 2025-Mar-19 13:45 |
perl-moosex-methodattributes-doc-0.32-r0.apk | 22315 | 2025-Mar-19 13:45 |
perl-moosex-nonmoose-0.27-r1.apk | 8121 | 2025-Jun-19 10:55 |
perl-moosex-nonmoose-doc-0.27-r1.apk | 9405 | 2025-Jun-19 10:55 |
perl-moosex-object-pluggable-0.0014-r0.apk | 5861 | 2025-Apr-21 17:33 |
perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5363 | 2025-Apr-21 17:33 |
perl-moosex-relatedclassroles-0.004-r0.apk | 2599 | 2025-May-04 02:50 |
perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3158 | 2025-May-04 02:50 |
perl-moosex-role-parameterized-1.11-r0.apk | 7645 | 2025-Mar-15 14:09 |
perl-moosex-role-parameterized-doc-1.11-r0.apk | 23801 | 2025-Mar-15 14:09 |
perl-moosex-simpleconfig-0.11-r0.apk | 3614 | 2025-Mar-16 04:16 |
perl-moosex-simpleconfig-doc-0.11-r0.apk | 3994 | 2025-Mar-16 04:16 |
perl-moosex-traits-pluggable-0.12-r0.apk | 4796 | 2025-May-04 02:50 |
perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4044 | 2025-May-04 02:50 |
perl-moosex-types-loadableclass-0.016-r0.apk | 3037 | 2025-May-04 02:50 |
perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3570 | 2025-May-04 02:50 |
perl-moosex-types-path-tiny-0.012-r0.apk | 3835 | 2025-Mar-15 14:09 |
perl-moosex-types-path-tiny-doc-0.012-r0.apk | 3944 | 2025-Mar-15 14:09 |
perl-moosex-types-stringlike-0.003-r0.apk | 2852 | 2025-Mar-15 14:09 |
perl-moosex-types-stringlike-doc-0.003-r0.apk | 3401 | 2025-Mar-15 14:09 |
perl-moox-typetiny-0.002003-r0.apk | 3371 | 2025-Jun-09 12:06 |
perl-moox-typetiny-doc-0.002003-r0.apk | 2977 | 2025-Jun-09 12:06 |
perl-multidimensional-0.014-r1.apk | 5134 | 2025-Jun-30 09:24 |
perl-multidimensional-doc-0.014-r1.apk | 2967 | 2025-Jun-30 09:24 |
perl-musicbrainz-discid-0.06-r2.apk | 9329 | 2025-Jun-30 09:24 |
perl-musicbrainz-discid-doc-0.06-r2.apk | 4198 | 2025-Jun-30 09:24 |
perl-net-address-ip-local-0.1.2-r0.apk | 3265 | 2024-Oct-25 20:53 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3312 | 2024-Oct-25 20:53 |
perl-net-amqp-rabbitmq-2.40012-r1.apk | 79653 | 2025-Jun-30 09:24 |
perl-net-amqp-rabbitmq-doc-2.40012-r1.apk | 9717 | 2025-Jun-30 09:24 |
perl-net-async-redis-6.006-r0.apk | 59573 | 2024-Dec-11 16:22 |
perl-net-async-redis-doc-6.006-r0.apk | 67209 | 2024-Dec-11 16:22 |
perl-net-async-redis-xs-1.001-r2.apk | 9891 | 2025-Jun-30 09:24 |
perl-net-async-redis-xs-doc-1.001-r2.apk | 5256 | 2025-Jun-30 09:24 |
perl-net-curl-0.57-r1.apk | 65855 | 2025-Jun-30 09:24 |
perl-net-curl-doc-0.57-r1.apk | 40079 | 2025-Jun-30 09:24 |
perl-net-curl-promiser-0.20-r0.apk | 8815 | 2024-Oct-25 20:53 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2524 | 2024-Oct-25 20:53 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11660 | 2024-Oct-25 20:53 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 2781 | 2024-Oct-25 20:53 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 2920 | 2024-Oct-25 20:53 |
perl-net-idn-encode-2.500-r2.apk | 86178 | 2025-Jun-30 09:24 |
perl-net-idn-encode-doc-2.500-r2.apk | 22086 | 2025-Jun-30 09:24 |
perl-net-irr-0.10-r0.apk | 5331 | 2024-Oct-25 20:53 |
perl-net-irr-doc-0.10-r0.apk | 5021 | 2024-Oct-25 20:53 |
perl-net-jabber-2.0-r0.apk | 51572 | 2024-Oct-25 20:53 |
perl-net-jabber-bot-2.1.7-r0.apk | 13550 | 2024-Oct-25 20:53 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7500 | 2024-Oct-25 20:53 |
perl-net-jabber-doc-2.0-r0.apk | 49246 | 2024-Oct-25 20:53 |
perl-net-netmask-2.0003-r0.apk | 13579 | 2025-May-18 04:10 |
perl-net-netmask-doc-2.0003-r0.apk | 8493 | 2025-May-18 04:10 |
perl-net-patricia-1.23-r0.apk | 22530 | 2025-Aug-16 14:46 |
perl-net-patricia-doc-1.23-r0.apk | 6169 | 2025-Aug-16 14:46 |
perl-net-xmpp-1.05-r0.apk | 58779 | 2024-Oct-25 20:53 |
perl-net-xmpp-doc-1.05-r0.apk | 44422 | 2024-Oct-25 20:53 |
perl-netaddr-mac-0.98-r1.apk | 10832 | 2024-Oct-25 20:53 |
perl-netaddr-mac-doc-0.98-r1.apk | 7917 | 2024-Oct-25 20:53 |
perl-nice-try-1.3.17-r0.apk | 28260 | 2025-Jul-19 17:52 |
perl-nice-try-doc-1.3.17-r0.apk | 12538 | 2025-Jul-19 17:52 |
perl-number-format-1.76-r1.apk | 15352 | 2024-Oct-25 20:53 |
perl-number-format-doc-1.76-r1.apk | 8959 | 2024-Oct-25 20:53 |
perl-number-misc-1.2-r5.apk | 5051 | 2024-Oct-25 20:53 |
perl-number-misc-doc-1.2-r5.apk | 4233 | 2024-Oct-25 20:53 |
perl-number-tolerant-1.710-r0.apk | 14925 | 2024-Oct-25 20:53 |
perl-number-tolerant-doc-1.710-r0.apk | 25989 | 2024-Oct-25 20:53 |
perl-object-array-0.060-r0.apk | 5563 | 2024-Oct-25 20:53 |
perl-object-array-doc-0.060-r0.apk | 6865 | 2024-Oct-25 20:53 |
perl-object-pad-fieldattr-checked-0.12-r1.apk | 8935 | 2025-Jun-30 09:24 |
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4373 | 2025-Jun-30 09:24 |
perl-object-signature-1.08-r0.apk | 3494 | 2025-Apr-04 11:57 |
perl-object-signature-doc-1.08-r0.apk | 5272 | 2025-Apr-04 11:57 |
perl-openapi-client-1.07-r0.apk | 8590 | 2024-Oct-25 20:53 |
perl-openapi-client-doc-1.07-r0.apk | 7268 | 2024-Oct-25 20:53 |
perl-opentracing-1.006-r0.apk | 18153 | 2024-Oct-25 20:53 |
perl-opentracing-doc-1.006-r0.apk | 33245 | 2024-Oct-25 20:53 |
perl-pango-1.227-r12.apk | 82382 | 2025-Jun-30 09:24 |
perl-pango-doc-1.227-r12.apk | 84080 | 2025-Jun-30 09:24 |
perl-parse-distname-0.05-r0.apk | 5335 | 2025-Jul-11 08:48 |
perl-parse-distname-doc-0.05-r0.apk | 4213 | 2025-Jul-11 08:48 |
perl-path-dispatcher-1.08-r0.apk | 14301 | 2025-Jun-09 12:06 |
perl-path-dispatcher-doc-1.08-r0.apk | 38371 | 2025-Jun-09 12:06 |
perl-path-iter-0.2-r3.apk | 5076 | 2024-Oct-25 20:53 |
perl-path-iter-doc-0.2-r3.apk | 5014 | 2024-Oct-25 20:53 |
perl-perlio-locale-0.10-r13.apk | 4667 | 2025-Jun-30 09:24 |
perl-perlio-locale-doc-0.10-r13.apk | 2922 | 2025-Jun-30 09:24 |
perl-plack-middleware-expires-0.06-r3.apk | 3703 | 2024-Oct-25 20:53 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3162 | 2024-Oct-25 20:53 |
perl-plack-middleware-fixmissingbodyinredirect-0..> | 2891 | 2024-Dec-26 09:37 |
perl-plack-middleware-fixmissingbodyinredirect-d..> | 2876 | 2024-Dec-26 09:37 |
perl-plack-middleware-methodoverride-0.20-r0.apk | 3461 | 2025-Mar-19 13:45 |
perl-plack-middleware-methodoverride-doc-0.20-r0..> | 3875 | 2025-Mar-19 13:45 |
perl-plack-middleware-removeredundantbody-0.09-r..> | 2300 | 2024-Dec-27 14:02 |
perl-plack-middleware-removeredundantbody-doc-0...> | 2886 | 2024-Dec-27 14:02 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 2974 | 2024-Oct-25 20:53 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 2859 | 2024-Oct-25 20:53 |
perl-plack-test-externalserver-0.02-r0.apk | 2613 | 2025-Mar-19 13:45 |
perl-plack-test-externalserver-doc-0.02-r0.apk | 2936 | 2025-Mar-19 13:45 |
perl-pod-cpandoc-0.16-r6.apk | 4414 | 2024-Oct-25 20:53 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4748 | 2024-Oct-25 20:53 |
perl-pod-tidy-0.10-r1.apk | 10366 | 2024-Oct-25 20:53 |
perl-pod-tidy-doc-0.10-r1.apk | 10537 | 2024-Oct-25 20:53 |
perl-power-tools-1.052-r0.apk | 374241 | 2025-Jul-21 08:48 |
perl-power-tools-doc-1.052-r0.apk | 252865 | 2025-Jul-21 08:48 |
perl-ppi-xs-0.910-r2.apk | 6040 | 2025-Jun-30 09:24 |
perl-ppi-xs-doc-0.910-r2.apk | 3245 | 2025-Jun-30 09:24 |
perl-prereqscanner-notquitelite-0.9917-r0.apk | 41746 | 2025-Jul-12 13:45 |
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 49995 | 2025-Jul-12 13:45 |
perl-proc-guard-0.07-r4.apk | 3512 | 2024-Oct-25 20:53 |
perl-proc-guard-doc-0.07-r4.apk | 3358 | 2024-Oct-25 20:53 |
perl-promise-es6-0.28-r0.apk | 10710 | 2024-Oct-25 20:53 |
perl-promise-es6-anyevent-0.28-r0.apk | 2304 | 2024-Oct-25 20:53 |
perl-promise-es6-doc-0.28-r0.apk | 12101 | 2024-Oct-25 20:53 |
perl-promise-es6-future-0.28-r0.apk | 2090 | 2024-Oct-25 20:53 |
perl-promise-es6-io-async-0.28-r0.apk | 2770 | 2024-Oct-25 20:53 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2380 | 2024-Oct-25 20:53 |
perl-promise-me-0.6.0-r0.apk | 27524 | 2025-Aug-09 12:15 |
perl-promise-me-doc-0.6.0-r0.apk | 12872 | 2025-Aug-09 12:15 |
perl-promise-xs-0.20-r2.apk | 26566 | 2025-Jun-30 09:24 |
perl-promise-xs-doc-0.20-r2.apk | 8703 | 2025-Jun-30 09:24 |
perl-protocol-database-postgresql-2.001-r0.apk | 19384 | 2024-Oct-25 20:53 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 38191 | 2024-Oct-25 20:53 |
perl-protocol-redis-1.0021-r0.apk | 5482 | 2024-Oct-25 20:53 |
perl-protocol-redis-doc-1.0021-r0.apk | 4890 | 2024-Oct-25 20:53 |
perl-protocol-redis-faster-0.003-r0.apk | 3244 | 2024-Oct-25 20:53 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3141 | 2024-Oct-25 20:53 |
perl-ref-util-xs-0.117-r9.apk | 10886 | 2025-Jun-30 09:24 |
perl-ref-util-xs-doc-0.117-r9.apk | 3286 | 2025-Jun-30 09:24 |
perl-regexp-trie-0.02-r0.apk | 2778 | 2025-Jul-12 07:22 |
perl-regexp-trie-doc-0.02-r0.apk | 3122 | 2025-Jul-12 07:22 |
perl-role-eventemitter-0.003-r0.apk | 3495 | 2024-Oct-25 20:53 |
perl-role-eventemitter-doc-0.003-r0.apk | 3781 | 2024-Oct-25 20:53 |
perl-rxperl-6.29.8-r0.apk | 26648 | 2024-Oct-25 20:53 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2533 | 2024-Oct-25 20:53 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8912 | 2024-Oct-25 20:53 |
perl-rxperl-doc-6.29.8-r0.apk | 22850 | 2024-Oct-25 20:53 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2634 | 2024-Oct-25 20:53 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 8952 | 2024-Oct-25 20:53 |
perl-rxperl-mojo-6.8.2-r0.apk | 2645 | 2024-Oct-25 20:53 |
perl-rxperl-mojo-doc-6.8.2-r0.apk | 9009 | 2024-Oct-25 20:53 |
perl-ryu-4.001-r0.apk | 26425 | 2024-Oct-25 20:53 |
perl-ryu-async-0.020-r0.apk | 7423 | 2024-Oct-25 20:53 |
perl-ryu-async-doc-0.020-r0.apk | 11837 | 2024-Oct-25 20:53 |
perl-ryu-doc-4.001-r0.apk | 35978 | 2024-Oct-25 20:53 |
perl-scalar-readonly-0.03-r2.apk | 5539 | 2025-Jun-30 09:24 |
perl-scalar-readonly-doc-0.03-r2.apk | 3247 | 2025-Jun-30 09:24 |
perl-session-storage-secure-1.000-r2.apk | 8777 | 2024-Oct-25 20:53 |
perl-session-storage-secure-doc-1.000-r2.apk | 7316 | 2024-Oct-25 20:53 |
perl-set-infinite-0.65-r0.apk | 23172 | 2025-Jul-21 08:48 |
perl-set-infinite-doc-0.65-r0.apk | 11470 | 2025-Jul-21 08:48 |
perl-shell-config-generate-0.34-r0.apk | 7760 | 2025-Jun-08 16:43 |
perl-shell-config-generate-doc-0.34-r0.apk | 6730 | 2025-Jun-08 16:43 |
perl-shell-guess-0.10-r0.apk | 5849 | 2025-Jun-07 14:55 |
perl-shell-guess-doc-0.10-r0.apk | 5652 | 2025-Jun-07 14:55 |
perl-signature-attribute-checked-0.06-r1.apk | 8180 | 2025-Jun-30 09:24 |
perl-signature-attribute-checked-doc-0.06-r1.apk | 4482 | 2025-Jun-30 09:24 |
perl-smart-comments-1.06-r0.apk | 12310 | 2025-Jul-07 17:02 |
perl-smart-comments-doc-1.06-r0.apk | 8459 | 2025-Jul-07 17:02 |
perl-snmp-5.0404-r14.apk | 76717 | 2025-Jun-30 09:24 |
perl-snmp-doc-5.0404-r14.apk | 14171 | 2025-Jun-30 09:24 |
perl-snmp-info-3.972002-r0.apk | 340447 | 2024-Oct-30 06:01 |
perl-snmp-info-doc-3.972002-r0.apk | 387677 | 2024-Oct-30 06:01 |
perl-snowball-norwegian-1.2-r0.apk | 5133 | 2025-Jun-08 16:43 |
perl-snowball-norwegian-doc-1.2-r0.apk | 3723 | 2025-Jun-08 16:43 |
perl-snowball-swedish-1.2-r0.apk | 5102 | 2025-Jun-08 16:43 |
perl-snowball-swedish-doc-1.2-r0.apk | 3689 | 2025-Jun-08 16:43 |
perl-soap-lite-1.27-r5.apk | 112597 | 2024-Oct-25 20:53 |
perl-soap-lite-doc-1.27-r5.apk | 92372 | 2024-Oct-25 20:53 |
perl-software-license-0.104007-r0.apk | 108919 | 2025-Jul-08 16:54 |
perl-software-license-doc-0.104007-r0.apk | 51385 | 2025-Jul-08 16:54 |
perl-sort-naturally-1.03-r4.apk | 8611 | 2024-Oct-25 20:53 |
perl-sort-naturally-doc-1.03-r4.apk | 5327 | 2024-Oct-25 20:53 |
perl-sort-versions-1.62-r0.apk | 3566 | 2024-Oct-25 20:53 |
perl-sort-versions-doc-1.62-r0.apk | 3979 | 2024-Oct-25 20:53 |
perl-sql-abstract-classic-1.91-r1.apk | 29960 | 2024-Oct-25 20:53 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20448 | 2024-Oct-25 20:53 |
perl-sql-abstract-more-1.44-r0.apk | 27961 | 2025-Jul-14 05:59 |
perl-sql-abstract-more-doc-1.44-r0.apk | 16809 | 2025-Jul-14 05:59 |
perl-starman-0.4017-r0.apk | 13493 | 2024-Oct-25 20:53 |
perl-starman-doc-0.4017-r0.apk | 9977 | 2024-Oct-25 20:53 |
perl-statistics-basic-1.6611-r0.apk | 9171 | 2024-Oct-25 20:53 |
perl-statistics-basic-doc-1.6611-r0.apk | 50712 | 2024-Oct-25 20:53 |
perl-statistics-descriptive-3.0801-r0.apk | 30764 | 2024-Oct-25 20:53 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 38138 | 2024-Oct-25 20:53 |
perl-storable-improved-0.1.3-r0.apk | 6601 | 2024-Oct-25 20:53 |
perl-storable-improved-doc-0.1.3-r0.apk | 6810 | 2024-Oct-25 20:53 |
perl-string-camelcase-0.04-r2.apk | 3000 | 2024-Oct-25 20:53 |
perl-string-camelcase-doc-0.04-r2.apk | 3279 | 2024-Oct-25 20:53 |
perl-string-compare-constanttime-0.321-r7.apk | 7696 | 2025-Jun-30 09:24 |
perl-string-compare-constanttime-doc-0.321-r7.apk | 5149 | 2025-Jun-30 09:24 |
perl-string-crc32-2.100-r5.apk | 7341 | 2025-Jun-30 09:24 |
perl-string-crc32-doc-2.100-r5.apk | 3251 | 2025-Jun-30 09:24 |
perl-string-escape-2010.002-r0.apk | 8818 | 2025-Mar-28 16:14 |
perl-string-escape-doc-2010.002-r0.apk | 7752 | 2025-Mar-28 16:14 |
perl-string-random-0.32-r2.apk | 7836 | 2024-Oct-25 20:53 |
perl-string-random-doc-0.32-r2.apk | 6079 | 2024-Oct-25 20:53 |
perl-string-toidentifier-en-0.12-r0.apk | 5307 | 2025-Jun-09 12:07 |
perl-string-toidentifier-en-doc-0.12-r0.apk | 5450 | 2025-Jun-09 12:07 |
perl-syntax-keyword-match-0.15-r1.apk | 14506 | 2025-Jun-30 09:24 |
perl-syntax-keyword-match-doc-0.15-r1.apk | 7762 | 2025-Jun-30 09:24 |
perl-syntax-operator-equ-0.10-r1.apk | 8367 | 2025-Jun-30 09:24 |
perl-syntax-operator-equ-doc-0.10-r1.apk | 6462 | 2025-Jun-30 09:24 |
perl-syntax-operator-in-0.10-r1.apk | 10029 | 2025-Jun-30 09:24 |
perl-syntax-operator-in-doc-0.10-r1.apk | 5782 | 2025-Jun-30 09:24 |
perl-sys-syscall-0.25-r10.apk | 5214 | 2024-Oct-25 20:53 |
perl-sys-syscall-doc-0.25-r10.apk | 3512 | 2024-Oct-25 20:53 |
perl-sys-virt-11.6.0-r0.apk | 219059 | 2025-Aug-14 13:43 |
perl-sys-virt-doc-11.6.0-r0.apk | 107884 | 2025-Aug-14 13:43 |
perl-system-command-1.122-r0.apk | 11856 | 2024-Oct-25 20:53 |
perl-system-command-doc-1.122-r0.apk | 10171 | 2024-Oct-25 20:53 |
perl-task-catalyst-4.02-r0.apk | 2756 | 2025-Jun-15 04:51 |
perl-task-catalyst-doc-4.02-r0.apk | 3502 | 2025-Jun-15 04:51 |
perl-template-plugin-csv-0.04-r3.apk | 2511 | 2024-Oct-25 20:53 |
perl-template-plugin-csv-doc-0.04-r3.apk | 2840 | 2024-Oct-25 20:53 |
perl-template-plugin-number-format-1.06-r4.apk | 4758 | 2024-Oct-25 20:53 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4239 | 2024-Oct-25 20:53 |
perl-template-timer-1.00-r0.apk | 3243 | 2025-Apr-12 15:52 |
perl-template-timer-doc-1.00-r0.apk | 3479 | 2025-Apr-12 15:52 |
perl-template-tiny-1.16-r0.apk | 5411 | 2025-Jul-24 12:36 |
perl-template-tiny-doc-1.16-r0.apk | 4871 | 2025-Jul-24 12:36 |
perl-term-size-0.211-r5.apk | 5893 | 2025-Jun-30 09:24 |
perl-term-size-doc-0.211-r5.apk | 3626 | 2025-Jun-30 09:24 |
perl-term-ui-0.50-r1.apk | 9965 | 2024-Oct-25 20:53 |
perl-term-ui-doc-0.50-r1.apk | 8439 | 2024-Oct-25 20:53 |
perl-test-api-0.010-r2.apk | 4947 | 2024-Oct-25 20:53 |
perl-test-api-doc-0.010-r2.apk | 4088 | 2024-Oct-25 20:53 |
perl-test-class-tiny-0.03-r0.apk | 5750 | 2024-Oct-25 20:53 |
perl-test-class-tiny-doc-0.03-r0.apk | 5302 | 2024-Oct-25 20:53 |
perl-test-describeme-0.004-r0.apk | 3408 | 2024-Oct-25 20:53 |
perl-test-describeme-doc-0.004-r0.apk | 4018 | 2024-Oct-25 20:53 |
perl-test-distribution-2.00-r1.apk | 7674 | 2024-Oct-25 20:53 |
perl-test-distribution-doc-2.00-r1.apk | 5962 | 2024-Oct-25 20:53 |
perl-test-expander-2.5.1-r0.apk | 7015 | 2024-Oct-25 20:53 |
perl-test-expander-doc-2.5.1-r0.apk | 20290 | 2024-Oct-25 20:53 |
perl-test-expect-0.34-r0.apk | 3287 | 2025-Apr-21 17:35 |
perl-test-expect-doc-0.34-r0.apk | 3391 | 2025-Apr-21 17:35 |
perl-test-file-1.995-r0.apk | 11421 | 2025-Apr-19 17:56 |
perl-test-file-doc-1.995-r0.apk | 6690 | 2025-Apr-19 17:56 |
perl-test-files-0.26-r0.apk | 6629 | 2024-Oct-25 20:53 |
perl-test-files-doc-0.26-r0.apk | 14680 | 2024-Oct-25 20:53 |
perl-test-kwalitee-1.28-r0.apk | 6269 | 2025-Jul-12 13:45 |
perl-test-kwalitee-doc-1.28-r0.apk | 6794 | 2025-Jul-12 13:45 |
perl-test-lwp-useragent-0.036-r0.apk | 9799 | 2024-Oct-25 20:53 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8281 | 2024-Oct-25 20:53 |
perl-test-memorygrowth-0.05-r0.apk | 6307 | 2024-Oct-25 20:53 |
perl-test-memorygrowth-doc-0.05-r0.apk | 5148 | 2024-Oct-25 20:53 |
perl-test-modern-0.013-r3.apk | 14711 | 2024-Oct-25 20:53 |
perl-test-modern-doc-0.013-r3.apk | 9839 | 2024-Oct-25 20:53 |
perl-test-perl-critic-1.04-r0.apk | 6733 | 2025-Jul-11 16:51 |
perl-test-perl-critic-doc-1.04-r0.apk | 6300 | 2025-Jul-11 16:51 |
perl-test-randomresult-0.001-r0.apk | 3340 | 2024-Oct-25 20:53 |
perl-test-randomresult-doc-0.001-r0.apk | 3497 | 2024-Oct-25 20:53 |
perl-test-redisserver-0.23-r0.apk | 4829 | 2024-Oct-25 20:53 |
perl-test-redisserver-doc-0.23-r0.apk | 3909 | 2024-Oct-25 20:53 |
perl-test-requires-git-1.008-r0.apk | 4634 | 2024-Oct-25 20:53 |
perl-test-requires-git-doc-1.008-r0.apk | 4245 | 2024-Oct-25 20:53 |
perl-test-roo-1.004-r3.apk | 11931 | 2024-Oct-25 20:53 |
perl-test-roo-doc-1.004-r3.apk | 15574 | 2024-Oct-25 20:53 |
perl-test-settings-0.003-r0.apk | 4802 | 2024-Oct-25 20:53 |
perl-test-settings-doc-0.003-r0.apk | 5924 | 2024-Oct-25 20:53 |
perl-test-timer-2.12-r2.apk | 8835 | 2024-Oct-25 20:53 |
perl-test-timer-doc-2.12-r2.apk | 8328 | 2024-Oct-25 20:53 |
perl-test-toolbox-0.4-r5.apk | 9828 | 2024-Oct-25 20:53 |
perl-test-toolbox-doc-0.4-r5.apk | 6059 | 2024-Oct-25 20:53 |
perl-test-trap-0.3.5-r1.apk | 19983 | 2024-Oct-25 20:53 |
perl-test-trap-doc-0.3.5-r1.apk | 20121 | 2024-Oct-25 20:53 |
perl-test-unit-0.27-r0.apk | 37461 | 2024-Oct-25 20:53 |
perl-test-unit-doc-0.27-r0.apk | 49199 | 2024-Oct-25 20:53 |
perl-test-useallmodules-0.17-r1.apk | 3646 | 2024-Oct-25 20:53 |
perl-test-useallmodules-doc-0.17-r1.apk | 3692 | 2024-Oct-25 20:53 |
perl-test-utf8-1.03-r0.apk | 5440 | 2024-Nov-16 15:09 |
perl-test-utf8-doc-1.03-r0.apk | 4710 | 2024-Nov-16 15:09 |
perl-test-www-mechanize-1.60-r0.apk | 14905 | 2025-Apr-13 14:57 |
perl-test-www-mechanize-catalyst-0.62-r0.apk | 7269 | 2025-Apr-20 04:33 |
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6108 | 2025-Apr-20 04:33 |
perl-test-www-mechanize-doc-1.60-r0.apk | 10056 | 2025-Apr-13 14:57 |
perl-test2-tools-explain-0.02-r0.apk | 3639 | 2024-Oct-25 20:53 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4275 | 2024-Oct-25 20:53 |
perl-text-brew-0.02-r5.apk | 4375 | 2024-Oct-25 20:53 |
perl-text-brew-doc-0.02-r5.apk | 3972 | 2024-Oct-25 20:53 |
perl-text-german-0.06-r0.apk | 13142 | 2025-Jun-07 02:00 |
perl-text-german-doc-0.06-r0.apk | 2842 | 2025-Jun-07 02:00 |
perl-text-simpletable-2.07-r0.apk | 4300 | 2025-Mar-19 13:45 |
perl-text-simpletable-doc-2.07-r0.apk | 3268 | 2025-Mar-19 13:45 |
perl-text-table-any-0.117-r0.apk | 7997 | 2024-Oct-25 20:53 |
perl-text-table-any-doc-0.117-r0.apk | 6545 | 2024-Oct-25 20:53 |
perl-text-table-sprintf-0.008-r0.apk | 5221 | 2024-Oct-25 20:53 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5080 | 2024-Oct-25 20:53 |
perl-text-worddiff-0.09-r0.apk | 10417 | 2025-Jun-09 16:26 |
perl-text-worddiff-doc-0.09-r0.apk | 13739 | 2025-Jun-09 16:26 |
perl-throwable-1.001-r1.apk | 6102 | 2024-Oct-25 20:53 |
perl-throwable-doc-1.001-r1.apk | 7933 | 2024-Oct-25 20:53 |
perl-tickit-widget-choice-0.07-r0.apk | 3756 | 2024-Oct-25 20:53 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3225 | 2024-Oct-25 20:53 |
perl-tickit-widget-entry-plugin-completion-0.02-..> | 4474 | 2024-Oct-25 20:53 |
perl-tickit-widget-entry-plugin-completion-doc-0..> | 3675 | 2024-Oct-25 20:53 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4541 | 2024-Oct-25 20:53 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 3986 | 2024-Oct-25 20:53 |
perl-tickit-widget-menu-0.16-r0.apk | 7089 | 2024-Oct-25 20:53 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6816 | 2024-Oct-25 20:53 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 7929 | 2024-Oct-25 20:53 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6437 | 2024-Oct-25 20:53 |
perl-tie-toobject-0.03-r0.apk | 2399 | 2025-Mar-19 13:45 |
perl-tie-toobject-doc-0.03-r0.apk | 2978 | 2025-Mar-19 13:45 |
perl-time-moment-0.44-r1.apk | 44507 | 2025-Jun-30 09:24 |
perl-time-moment-doc-0.44-r1.apk | 31383 | 2025-Jun-30 09:24 |
perl-time-moment-role-strptime-0.001-r0.apk | 2548 | 2024-Oct-25 20:53 |
perl-time-moment-role-strptime-doc-0.001-r0.apk | 3176 | 2024-Oct-25 20:53 |
perl-time-moment-role-timezone-1.000-r0.apk | 3381 | 2024-Oct-25 20:53 |
perl-time-moment-role-timezone-doc-1.000-r0.apk | 3815 | 2024-Oct-25 20:53 |
perl-time-timegm-0.01-r10.apk | 6856 | 2025-Jun-30 09:24 |
perl-time-timegm-doc-0.01-r10.apk | 3700 | 2025-Jun-30 09:24 |
perl-tree-simple-visitorfactory-0.16-r0.apk | 20120 | 2025-Mar-19 13:45 |
perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 47017 | 2025-Mar-19 13:45 |
perl-types-path-tiny-0.006-r0.apk | 3778 | 2024-Oct-25 20:53 |
perl-types-path-tiny-doc-0.006-r0.apk | 3926 | 2024-Oct-25 20:53 |
perl-uri-db-0.23-r0.apk | 10885 | 2025-Jan-09 05:27 |
perl-uri-db-doc-0.23-r0.apk | 8287 | 2025-Jan-09 05:27 |
perl-uri-fetch-0.15-r0.apk | 6959 | 2024-Oct-25 20:53 |
perl-uri-fetch-doc-0.15-r0.apk | 7434 | 2024-Oct-25 20:53 |
perl-uri-find-20160806-r0.apk | 13680 | 2025-Mar-23 07:59 |
perl-uri-find-doc-20160806-r0.apk | 9078 | 2025-Mar-23 07:59 |
perl-uri-nested-0.10-r0.apk | 3837 | 2024-Oct-25 20:53 |
perl-uri-nested-doc-0.10-r0.apk | 3728 | 2024-Oct-25 20:53 |
perl-uri-redis-0.02-r0.apk | 2997 | 2024-Oct-25 20:53 |
perl-uri-redis-doc-0.02-r0.apk | 4416 | 2024-Oct-25 20:53 |
perl-uri-tcp-2.0.0-r0.apk | 2509 | 2024-Oct-25 20:53 |
perl-uri-tcp-doc-2.0.0-r0.apk | 4809 | 2024-Oct-25 20:53 |
perl-uri-ws-0.03-r0.apk | 2130 | 2025-Mar-19 13:45 |
perl-uri-ws-doc-0.03-r0.apk | 4165 | 2025-Mar-19 13:45 |
perl-url-encode-0.03-r4.apk | 5011 | 2024-Oct-25 20:53 |
perl-url-encode-doc-0.03-r4.apk | 4548 | 2024-Oct-25 20:53 |
perl-variable-disposition-0.005-r0.apk | 3080 | 2024-Oct-25 20:53 |
perl-variable-disposition-doc-0.005-r0.apk | 5519 | 2024-Oct-25 20:53 |
perl-wanted-0.1.0-r0.apk | 21386 | 2025-Aug-09 12:15 |
perl-wanted-doc-0.1.0-r0.apk | 10717 | 2025-Aug-09 12:15 |
perl-web-machine-0.17-r0.apk | 20497 | 2025-Jun-13 18:18 |
perl-web-machine-doc-0.17-r0.apk | 29129 | 2025-Jun-13 18:18 |
perl-web-scraper-0.38-r0.apk | 7443 | 2025-Jul-11 16:51 |
perl-web-scraper-doc-0.38-r0.apk | 8000 | 2025-Jul-11 16:51 |
perl-www-noss-1.08-r0.apk | 43704 | 2025-Aug-31 09:35 |
perl-www-noss-doc-1.08-r0.apk | 42686 | 2025-Aug-31 09:35 |
perl-x-tiny-0.22-r0.apk | 6768 | 2024-Oct-25 20:53 |
perl-x-tiny-doc-0.22-r0.apk | 7508 | 2024-Oct-25 20:53 |
perl-xml-atom-0.43-r0.apk | 19813 | 2024-Oct-25 20:53 |
perl-xml-atom-doc-0.43-r0.apk | 16030 | 2024-Oct-25 20:53 |
perl-xml-bare-0.53-r14.apk | 30043 | 2025-Jun-30 09:24 |
perl-xml-bare-doc-0.53-r14.apk | 11477 | 2025-Jun-30 09:24 |
perl-xml-feed-0.65-r0.apk | 13914 | 2024-Oct-25 20:53 |
perl-xml-feed-doc-0.65-r0.apk | 12427 | 2024-Oct-25 20:53 |
perl-xml-parser-style-easytree-0.09-r0.apk | 4824 | 2024-Oct-25 20:53 |
perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5282 | 2024-Oct-25 20:53 |
perl-xml-rpc-2.1-r0.apk | 5607 | 2024-Oct-25 20:53 |
perl-xml-rpc-doc-2.1-r0.apk | 4709 | 2024-Oct-25 20:53 |
perl-xml-stream-1.24-r0.apk | 44831 | 2024-Oct-25 20:53 |
perl-xml-stream-doc-1.24-r0.apk | 17818 | 2024-Oct-25 20:53 |
perl-xml-xpathengine-0.14-r0.apk | 21958 | 2025-Jul-08 16:55 |
perl-xml-xpathengine-doc-0.14-r0.apk | 11027 | 2025-Jul-08 16:55 |
persistent-cache-cpp-1.0.7-r4.apk | 47009 | 2025-Feb-18 22:22 |
persistent-cache-cpp-dev-1.0.7-r4.apk | 17942 | 2025-Feb-18 22:22 |
persistent-cache-cpp-doc-1.0.7-r4.apk | 2948 | 2025-Feb-18 22:22 |
pest-language-server-0.3.9-r0.apk | 1188582 | 2024-Oct-25 20:53 |
pfetch-1.9.0-r0.apk | 23455 | 2025-Apr-10 13:06 |
pfetch-doc-1.9.0-r0.apk | 5595 | 2025-Apr-10 13:06 |
phoronix-test-suite-10.8.4-r2.apk | 4138102 | 2024-Oct-25 20:53 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1549 | 2024-Oct-25 20:53 |
phoronix-test-suite-doc-10.8.4-r2.apk | 294110 | 2024-Oct-25 20:53 |
php81-8.1.33-r0.apk | 2007545 | 2025-Jul-02 22:32 |
php81-apache2-8.1.33-r0.apk | 1978177 | 2025-Jul-02 22:32 |
php81-bcmath-8.1.33-r0.apk | 20409 | 2025-Jul-02 22:32 |
php81-bz2-8.1.33-r0.apk | 10457 | 2025-Jul-02 22:32 |
php81-calendar-8.1.33-r0.apk | 13513 | 2025-Jul-02 22:32 |
php81-cgi-8.1.33-r0.apk | 1982897 | 2025-Jul-02 22:32 |
php81-common-8.1.33-r0.apk | 25492 | 2025-Jul-02 22:32 |
php81-ctype-8.1.33-r0.apk | 5360 | 2025-Jul-02 22:32 |
php81-curl-8.1.33-r0.apk | 37279 | 2025-Jul-02 22:32 |
php81-dba-8.1.33-r0.apk | 24177 | 2025-Jul-02 22:32 |
php81-dev-8.1.33-r0.apk | 961075 | 2025-Jul-02 22:32 |
php81-doc-8.1.33-r0.apk | 69498 | 2025-Jul-02 22:32 |
php81-dom-8.1.33-r0.apk | 65334 | 2025-Jul-02 22:32 |
php81-embed-8.1.33-r0.apk | 1968130 | 2025-Jul-02 22:32 |
php81-enchant-8.1.33-r0.apk | 8759 | 2025-Jul-02 22:32 |
php81-exif-8.1.33-r0.apk | 31604 | 2025-Jul-02 22:32 |
php81-ffi-8.1.33-r0.apk | 68279 | 2025-Jul-02 22:32 |
php81-fileinfo-8.1.33-r0.apk | 393002 | 2025-Jul-02 22:32 |
php81-fpm-8.1.33-r0.apk | 2057384 | 2025-Jul-02 22:32 |
php81-ftp-8.1.33-r0.apk | 23514 | 2025-Jul-02 22:32 |
php81-gd-8.1.33-r0.apk | 150729 | 2025-Jul-02 22:32 |
php81-gettext-8.1.33-r0.apk | 5927 | 2025-Jul-02 22:32 |
php81-gmp-8.1.33-r0.apk | 20787 | 2025-Jul-02 22:32 |
php81-iconv-8.1.33-r0.apk | 18591 | 2025-Jul-02 22:32 |
php81-imap-8.1.33-r0.apk | 34210 | 2025-Jul-02 22:32 |
php81-intl-8.1.33-r0.apk | 147721 | 2025-Jul-02 22:32 |
php81-ldap-8.1.33-r0.apk | 32493 | 2025-Jul-02 22:32 |
php81-litespeed-8.1.33-r0.apk | 1995794 | 2025-Jul-02 22:32 |
php81-mbstring-8.1.33-r0.apk | 587286 | 2025-Jul-02 22:32 |
php81-mysqli-8.1.33-r0.apk | 41488 | 2025-Jul-02 22:32 |
php81-mysqlnd-8.1.33-r0.apk | 86412 | 2025-Jul-02 22:32 |
php81-odbc-8.1.33-r0.apk | 23827 | 2025-Jul-02 22:32 |
php81-opcache-8.1.33-r0.apk | 74654 | 2025-Jul-02 22:32 |
php81-openssl-8.1.33-r0.apk | 74280 | 2025-Jul-02 22:32 |
php81-pcntl-8.1.33-r0.apk | 13895 | 2025-Jul-02 22:32 |
php81-pdo-8.1.33-r0.apk | 43100 | 2025-Jul-02 22:32 |
php81-pdo_dblib-8.1.33-r0.apk | 13015 | 2025-Jul-02 22:32 |
php81-pdo_mysql-8.1.33-r0.apk | 13857 | 2025-Jul-02 22:32 |
php81-pdo_odbc-8.1.33-r0.apk | 13170 | 2025-Jul-02 22:32 |
php81-pdo_pgsql-8.1.33-r0.apk | 19812 | 2025-Jul-02 22:32 |
php81-pdo_sqlite-8.1.33-r0.apk | 13527 | 2025-Jul-02 22:32 |
php81-pear-8.1.33-r0.apk | 345700 | 2025-Jul-02 22:32 |
php81-pecl-amqp-2.1.2-r0.apk | 55256 | 2024-Oct-25 20:53 |
php81-pecl-apcu-5.1.27-r0.apk | 57469 | 2025-Aug-28 21:51 |
php81-pecl-ast-1.1.3-r0.apk | 19639 | 2025-Aug-10 17:43 |
php81-pecl-brotli-0.18.2-r0.apk | 15131 | 2025-Aug-17 23:33 |
php81-pecl-csv-0.4.3-r0.apk | 10194 | 2025-Feb-25 15:46 |
php81-pecl-decimal-1.5.0-r1.apk | 19410 | 2024-Oct-25 20:53 |
php81-pecl-ds-1.6.0-r0.apk | 64978 | 2025-May-07 13:17 |
php81-pecl-event-3.1.4-r0.apk | 52279 | 2024-Oct-25 20:53 |
php81-pecl-igbinary-3.2.16-r0.apk | 36130 | 2024-Oct-25 20:53 |
php81-pecl-imagick-3.8.0-r0.apk | 112502 | 2025-Apr-10 20:08 |
php81-pecl-imagick-dev-3.8.0-r0.apk | 2085 | 2025-Apr-10 20:08 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 41597 | 2024-Oct-25 20:53 |
php81-pecl-luasandbox-4.1.2-r0.apk | 32422 | 2024-Oct-25 20:53 |
php81-pecl-lzf-1.7.0-r0.apk | 7772 | 2024-Oct-25 20:53 |
php81-pecl-mailparse-3.1.8-r0.apk | 24507 | 2024-Oct-25 20:53 |
php81-pecl-maxminddb-1.12.1-r0.apk | 8417 | 2025-May-07 11:54 |
php81-pecl-mcrypt-1.0.9-r0.apk | 15135 | 2025-Aug-05 12:42 |
php81-pecl-memcache-8.2-r1.apk | 44001 | 2024-Oct-25 20:53 |
php81-pecl-memcached-3.3.0-r0.apk | 47800 | 2024-Oct-25 20:53 |
php81-pecl-memprof-3.1.0-r0.apk | 14310 | 2025-Feb-24 17:23 |
php81-pecl-mongodb-2.1.1-r0.apk | 849674 | 2025-Jun-15 03:44 |
php81-pecl-msgpack-3.0.0-r0.apk | 26203 | 2024-Oct-25 20:53 |
php81-pecl-oauth-2.0.9-r0.apk | 36176 | 2024-Oct-25 20:53 |
php81-pecl-opentelemetry-1.2.0-r0.apk | 13706 | 2025-Jul-12 13:10 |
php81-pecl-pcov-1.0.12-r0.apk | 10019 | 2024-Dec-05 11:04 |
php81-pecl-protobuf-4.32.0-r0.apk | 153265 | 2025-Aug-18 00:56 |
php81-pecl-psr-1.2.0-r0.apk | 17646 | 2024-Oct-25 20:53 |
php81-pecl-rdkafka-6.0.5-r0.apk | 38549 | 2024-Nov-04 12:52 |
php81-pecl-redis-6.2.0-r0.apk | 206069 | 2025-Mar-27 10:59 |
php81-pecl-smbclient-1.2.0_pre-r0.apk | 21257 | 2024-Dec-10 19:02 |
php81-pecl-ssh2-1.4.1-r0.apk | 28254 | 2024-Oct-25 20:53 |
php81-pecl-swoole-6.0.2-r0.apk | 923995 | 2025-Mar-26 18:30 |
php81-pecl-swoole-dev-6.0.2-r0.apk | 217729 | 2025-Mar-26 18:30 |
php81-pecl-timezonedb-2025.2-r0.apk | 193567 | 2025-Mar-27 11:07 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6896 | 2024-Oct-25 20:53 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9896 | 2024-Oct-25 20:53 |
php81-pecl-uuid-1.3.0-r0.apk | 6852 | 2025-May-14 17:29 |
php81-pecl-vips-1.0.13-r0.apk | 16991 | 2024-Oct-25 20:53 |
php81-pecl-xdebug-3.4.5-r0.apk | 150601 | 2025-Jul-20 13:28 |
php81-pecl-xhprof-2.3.10-r0.apk | 13128 | 2024-Oct-25 20:53 |
php81-pecl-xhprof-assets-2.3.10-r0.apk | 819558 | 2024-Oct-25 20:53 |
php81-pecl-xlswriter-1.5.8-r0.apk | 237516 | 2024-Nov-11 01:45 |
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 38703 | 2024-Oct-25 20:53 |
php81-pecl-yaml-2.2.5-r0.apk | 19863 | 2025-Aug-03 14:53 |
php81-pecl-zephir_parser-1.7.0-r0.apk | 59560 | 2024-Nov-24 16:57 |
php81-pecl-zstd-0.15.1-r0.apk | 17541 | 2025-Aug-17 23:46 |
php81-pgsql-8.1.33-r0.apk | 46553 | 2025-Jul-02 22:32 |
php81-phar-8.1.33-r0.apk | 126096 | 2025-Jul-02 22:32 |
php81-phpdbg-8.1.33-r0.apk | 2057230 | 2025-Jul-02 22:32 |
php81-posix-8.1.33-r0.apk | 11417 | 2025-Jul-02 22:32 |
php81-pspell-8.1.33-r0.apk | 8100 | 2025-Jul-02 22:32 |
php81-session-8.1.33-r0.apk | 38245 | 2025-Jul-02 22:32 |
php81-shmop-8.1.33-r0.apk | 6241 | 2025-Jul-02 22:32 |
php81-simplexml-8.1.33-r0.apk | 23494 | 2025-Jul-02 22:32 |
php81-snmp-8.1.33-r0.apk | 21736 | 2025-Jul-02 22:32 |
php81-soap-8.1.33-r0.apk | 144986 | 2025-Jul-02 22:32 |
php81-sockets-8.1.33-r0.apk | 37112 | 2025-Jul-02 22:32 |
php81-sodium-8.1.33-r0.apk | 26102 | 2025-Jul-02 22:32 |
php81-sqlite3-8.1.33-r0.apk | 21495 | 2025-Jul-02 22:32 |
php81-sysvmsg-8.1.33-r0.apk | 7681 | 2025-Jul-02 22:32 |
php81-sysvsem-8.1.33-r0.apk | 5941 | 2025-Jul-02 22:32 |
php81-sysvshm-8.1.33-r0.apk | 6921 | 2025-Jul-02 22:32 |
php81-tideways_xhprof-5.0.4-r1.apk | 14174 | 2024-Oct-25 20:53 |
php81-tidy-8.1.33-r0.apk | 19054 | 2025-Jul-02 22:32 |
php81-tokenizer-8.1.33-r0.apk | 12114 | 2025-Jul-02 22:32 |
php81-xml-8.1.33-r0.apk | 19893 | 2025-Jul-02 22:32 |
php81-xmlreader-8.1.33-r0.apk | 14444 | 2025-Jul-02 22:32 |
php81-xmlwriter-8.1.33-r0.apk | 11512 | 2025-Jul-02 22:32 |
php81-xsl-8.1.33-r0.apk | 13780 | 2025-Jul-02 22:32 |
php81-zip-8.1.33-r0.apk | 26395 | 2025-Jul-02 22:32 |
php82-pecl-apfd-1.0.3-r0.apk | 4733 | 2024-Oct-25 20:53 |
php82-pecl-excimer-1.2.5-r0.apk | 21685 | 2025-May-20 18:27 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 41775 | 2024-Oct-25 20:53 |
php82-pecl-oauth-2.0.9-r0.apk | 36473 | 2024-Oct-25 20:53 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 31742 | 2024-Oct-25 20:53 |
php82-pecl-teds-1.3.0-r0.apk | 139684 | 2024-Oct-25 20:53 |
php82-pecl-vld-0.19.1-r0.apk | 16335 | 2025-Jul-20 13:29 |
php82-pecl-zephir_parser-1.7.0-r0.apk | 59593 | 2024-Nov-24 16:57 |
php82-snappy-0.2.3-r0.apk | 5492 | 2025-Apr-09 22:06 |
php83-pecl-apfd-1.0.3-r0.apk | 4736 | 2024-Oct-25 20:53 |
php83-pecl-eio-3.1.4-r0.apk | 29968 | 2025-Jul-20 15:26 |
php83-pecl-ev-1.2.1-r0.apk | 43256 | 2025-Jul-20 14:00 |
php83-pecl-excimer-1.2.5-r0.apk | 21704 | 2025-May-20 18:27 |
php83-pecl-oauth-2.0.9-r0.apk | 36506 | 2024-Oct-25 20:53 |
php83-pecl-phpy-1.0.11-r1.apk | 44390 | 2025-May-14 17:29 |
php83-pecl-uv-0.3.0-r0.apk | 51241 | 2024-Oct-25 20:53 |
php83-pecl-vld-0.19.1-r0.apk | 16331 | 2025-Jul-20 13:29 |
php83-pecl-zmq-1.1.4-r0.apk | 32229 | 2024-Oct-25 20:53 |
php84-pecl-csv-0.4.3-r0.apk | 10158 | 2025-Feb-25 15:46 |
php84-pecl-ev-1.2.1-r0.apk | 43304 | 2025-Jul-20 14:00 |
php84-pecl-mcrypt-1.0.9-r0.apk | 15139 | 2025-Aug-05 12:42 |
php84-pecl-memprof-3.1.0-r0.apk | 14323 | 2025-Feb-24 17:23 |
php84-pecl-oauth-2.0.9-r0.apk | 36573 | 2024-Oct-25 20:53 |
php84-pecl-phpy-1.0.11-r1.apk | 44420 | 2025-May-14 17:29 |
php84-pecl-solr-2.8.1-r0.apk | 92369 | 2025-Apr-23 04:48 |
php84-pecl-uv-0.3.0-r0.apk | 51279 | 2024-Oct-28 12:47 |
php84-pecl-vld-0.19.1-r0.apk | 16603 | 2025-Jul-20 13:29 |
php84-snappy-0.2.3-r0.apk | 5493 | 2025-Apr-09 22:06 |
php85-8.5.0_beta2-r1.apk | 2950057 | 2025-Sep-02 11:07 |
php85-apache2-8.5.0_beta2-r1.apk | 2925535 | 2025-Sep-02 11:07 |
php85-bcmath-8.5.0_beta2-r1.apk | 32978 | 2025-Sep-02 11:07 |
php85-bz2-8.5.0_beta2-r1.apk | 10862 | 2025-Sep-02 11:07 |
php85-calendar-8.5.0_beta2-r1.apk | 14357 | 2025-Sep-02 11:07 |
php85-cgi-8.5.0_beta2-r1.apk | 2924641 | 2025-Sep-02 11:07 |
php85-common-8.5.0_beta2-r1.apk | 24400 | 2025-Sep-02 11:07 |
php85-ctype-8.5.0_beta2-r1.apk | 5154 | 2025-Sep-02 11:07 |
php85-curl-8.5.0_beta2-r1.apk | 45126 | 2025-Sep-02 11:07 |
php85-dba-8.5.0_beta2-r1.apk | 27154 | 2025-Sep-02 11:07 |
php85-dbg-8.5.0_beta2-r1.apk | 53970050 | 2025-Sep-02 11:07 |
php85-dev-8.5.0_beta2-r1.apk | 1316869 | 2025-Sep-02 11:07 |
php85-doc-8.5.0_beta2-r1.apk | 60850 | 2025-Sep-02 11:07 |
php85-dom-8.5.0_beta2-r1.apk | 155516 | 2025-Sep-02 11:07 |
php85-embed-8.5.0_beta2-r1.apk | 2916565 | 2025-Sep-02 11:07 |
php85-enchant-8.5.0_beta2-r1.apk | 9966 | 2025-Sep-02 11:07 |
php85-exif-8.5.0_beta2-r1.apk | 31552 | 2025-Sep-02 11:07 |
php85-ffi-8.5.0_beta2-r1.apk | 71197 | 2025-Sep-02 11:07 |
php85-fileinfo-8.5.0_beta2-r1.apk | 517703 | 2025-Sep-02 11:07 |
php85-fpm-8.5.0_beta2-r1.apk | 3003684 | 2025-Sep-02 11:07 |
php85-ftp-8.5.0_beta2-r1.apk | 25139 | 2025-Sep-02 11:07 |
php85-gd-8.5.0_beta2-r1.apk | 154234 | 2025-Sep-02 11:07 |
php85-gettext-8.5.0_beta2-r1.apk | 6994 | 2025-Sep-02 11:07 |
php85-gmp-8.5.0_beta2-r1.apk | 19344 | 2025-Sep-02 11:07 |
php85-iconv-8.5.0_beta2-r1.apk | 19001 | 2025-Sep-02 11:07 |
php85-intl-8.5.0_beta2-r1.apk | 186587 | 2025-Sep-02 11:07 |
php85-ldap-8.5.0_beta2-r1.apk | 34738 | 2025-Sep-02 11:07 |
php85-litespeed-8.5.0_beta2-r1.apk | 2937200 | 2025-Sep-02 11:07 |
php85-mbstring-8.5.0_beta2-r1.apk | 660951 | 2025-Sep-02 11:07 |
php85-mysqli-8.5.0_beta2-r1.apk | 44498 | 2025-Sep-02 11:07 |
php85-mysqlnd-8.5.0_beta2-r1.apk | 85388 | 2025-Sep-02 11:07 |
php85-odbc-8.5.0_beta2-r1.apk | 27509 | 2025-Sep-02 11:07 |
php85-openssl-8.5.0_beta2-r1.apk | 85284 | 2025-Sep-02 11:07 |
php85-pcntl-8.5.0_beta2-r1.apk | 18987 | 2025-Sep-02 11:07 |
php85-pdo-8.5.0_beta2-r1.apk | 46883 | 2025-Sep-02 11:07 |
php85-pdo_dblib-8.5.0_beta2-r1.apk | 14157 | 2025-Sep-02 11:07 |
php85-pdo_mysql-8.5.0_beta2-r1.apk | 16646 | 2025-Sep-02 11:07 |
php85-pdo_odbc-8.5.0_beta2-r1.apk | 15528 | 2025-Sep-02 11:07 |
php85-pdo_pgsql-8.5.0_beta2-r1.apk | 25705 | 2025-Sep-02 11:07 |
php85-pdo_sqlite-8.5.0_beta2-r1.apk | 20184 | 2025-Sep-02 11:07 |
php85-pear-8.5.0_beta2-r1.apk | 345744 | 2025-Sep-02 11:07 |
php85-pecl-apcu-5.1.27-r0.apk | 58455 | 2025-Aug-28 21:51 |
php85-pecl-ast-1.1.3-r0.apk | 19966 | 2025-Aug-10 17:43 |
php85-pecl-brotli-0.18.2-r0.apk | 15222 | 2025-Aug-17 23:33 |
php85-pecl-ev-1.2.1-r0.apk | 43296 | 2025-Jul-20 14:00 |
php85-pecl-igbinary-3.2.16-r1.apk | 38138 | 2025-Jul-30 17:06 |
php85-pecl-protobuf-4.30.0-r0.apk | 149600 | 2025-Aug-18 00:56 |
php85-pecl-uploadprogress-2.0.2-r0.apk | 6874 | 2025-Jul-02 19:00 |
php85-pecl-uploadprogress-doc-2.0.2-r0.apk | 9555 | 2025-Jul-02 19:00 |
php85-pecl-vld-0.19.1-r0.apk | 16619 | 2025-Jul-20 13:29 |
php85-pecl-xdebug-3.4.5-r1.apk | 151445 | 2025-Jul-30 17:06 |
php85-pecl-xhprof-2.3.10-r0.apk | 13232 | 2025-Jul-02 19:00 |
php85-pecl-xhprof-assets-2.3.10-r0.apk | 826255 | 2025-Jul-02 19:00 |
php85-pecl-yaml-2.2.5-r0.apk | 20013 | 2025-Aug-03 14:53 |
php85-pecl-zstd-0.15.1-r0.apk | 17594 | 2025-Aug-17 23:46 |
php85-pgsql-8.5.0_beta2-r1.apk | 57981 | 2025-Sep-02 11:07 |
php85-phar-8.5.0_beta2-r1.apk | 129020 | 2025-Sep-02 11:07 |
php85-phpdbg-8.5.0_beta2-r1.apk | 2997337 | 2025-Sep-02 11:07 |
php85-posix-8.5.0_beta2-r1.apk | 12704 | 2025-Sep-02 11:07 |
php85-session-8.5.0_beta2-r1.apk | 42163 | 2025-Sep-02 11:07 |
php85-shmop-8.5.0_beta2-r1.apk | 6603 | 2025-Sep-02 11:07 |
php85-simplexml-8.5.0_beta2-r1.apk | 23168 | 2025-Sep-02 11:07 |
php85-snmp-8.5.0_beta2-r1.apk | 22769 | 2025-Sep-02 11:07 |
php85-soap-8.5.0_beta2-r1.apk | 149247 | 2025-Sep-02 11:07 |
php85-sockets-8.5.0_beta2-r1.apk | 42819 | 2025-Sep-02 11:07 |
php85-sodium-8.5.0_beta2-r1.apk | 28336 | 2025-Sep-02 11:07 |
php85-sqlite3-8.5.0_beta2-r1.apk | 25522 | 2025-Sep-02 11:07 |
php85-sysvmsg-8.5.0_beta2-r1.apk | 8039 | 2025-Sep-02 11:07 |
php85-sysvsem-8.5.0_beta2-r1.apk | 5954 | 2025-Sep-02 11:07 |
php85-sysvshm-8.5.0_beta2-r1.apk | 6993 | 2025-Sep-02 11:07 |
php85-tidy-8.5.0_beta2-r1.apk | 19931 | 2025-Sep-02 11:07 |
php85-tokenizer-8.5.0_beta2-r1.apk | 12212 | 2025-Sep-02 11:07 |
php85-xml-8.5.0_beta2-r1.apk | 25683 | 2025-Sep-02 11:07 |
php85-xmlreader-8.5.0_beta2-r1.apk | 16970 | 2025-Sep-02 11:07 |
php85-xmlwriter-8.5.0_beta2-r1.apk | 13145 | 2025-Sep-02 11:07 |
php85-xsl-8.5.0_beta2-r1.apk | 15573 | 2025-Sep-02 11:07 |
php85-zip-8.5.0_beta2-r1.apk | 31006 | 2025-Sep-02 11:07 |
phpactor-2025.04.17.0-r0.apk | 3717872 | 2025-May-30 18:53 |
pick-4.0.0-r0.apk | 10463 | 2024-Oct-25 20:53 |
pick-doc-4.0.0-r0.apk | 3137 | 2024-Oct-25 20:53 |
pidif-0.1-r1.apk | 175780 | 2024-Oct-25 20:53 |
piglit-0_git20241106-r1.apk | 97311260 | 2025-May-14 17:30 |
pihole-6.2.3-r0.apk | 6132413 | 2025-Jun-20 18:06 |
pihole-bash-completion-6.2.3-r0.apk | 1971 | 2025-Jun-20 18:06 |
pihole-doc-6.2.3-r0.apk | 3576 | 2025-Jun-20 18:06 |
pihole-openrc-6.2.3-r0.apk | 1623 | 2025-Jun-20 18:06 |
pimd-3.0_git20220201-r0.apk | 89504 | 2024-Oct-25 20:53 |
pimd-dense-2.1.0-r0.apk | 54999 | 2024-Oct-25 20:53 |
pimd-dense-doc-2.1.0-r0.apk | 19883 | 2024-Oct-25 20:53 |
pimd-dense-openrc-2.1.0-r0.apk | 1625 | 2024-Oct-25 20:53 |
pimd-doc-3.0_git20220201-r0.apk | 35592 | 2024-Oct-25 20:53 |
pimd-openrc-3.0_git20220201-r0.apk | 1662 | 2024-Oct-25 20:53 |
pinentry-bemenu-0.14.0-r1.apk | 8871 | 2025-Jul-06 00:22 |
piper-phonemize-2023.11.14.4-r9.apk | 9432779 | 2025-Jul-12 16:34 |
piper-phonemize-dev-2023.11.14.4-r9.apk | 403422 | 2025-Jul-12 16:34 |
piper-phonemize-libs-2023.11.14.4-r9.apk | 71743 | 2025-Jul-12 16:34 |
piper-tts-2023.11.14.2-r14.apk | 133120 | 2025-Jul-12 16:34 |
piper-tts-dev-2023.11.14.2-r14.apk | 144142 | 2025-Jul-12 16:34 |
pithos-1.6.1-r0.apk | 106697 | 2024-Oct-25 20:53 |
pithos-doc-1.6.1-r0.apk | 1873 | 2024-Oct-25 20:53 |
pithos-pyc-1.6.1-r0.apk | 157718 | 2024-Oct-25 20:53 |
pitivi-2023.03-r2.apk | 2812174 | 2024-Dec-22 22:04 |
pitivi-lang-2023.03-r2.apk | 694284 | 2024-Dec-22 22:04 |
pitivi-pyc-2023.03-r2.apk | 716694 | 2024-Dec-22 22:04 |
pixi-0.24.2-r0.apk | 9525954 | 2024-Oct-25 20:53 |
pixi-bash-completion-0.24.2-r0.apk | 7062 | 2024-Oct-25 20:53 |
pixi-doc-0.24.2-r0.apk | 6770 | 2024-Oct-25 20:53 |
pixi-fish-completion-0.24.2-r0.apk | 10055 | 2024-Oct-25 20:53 |
pixi-zsh-completion-0.24.2-r0.apk | 10414 | 2024-Oct-25 20:53 |
pixiewps-1.4.2-r2.apk | 57001 | 2025-May-14 17:30 |
pixiewps-doc-1.4.2-r2.apk | 3216 | 2025-May-14 17:30 |
plakar-1.0.2-r2.apk | 15509747 | 2025-Aug-08 16:55 |
plakar-doc-1.0.2-r2.apk | 20867 | 2025-Aug-08 16:55 |
planarity-4.0.0.0-r0.apk | 25694 | 2025-Mar-16 10:08 |
planarity-dev-4.0.0.0-r0.apk | 23283 | 2025-Mar-16 10:08 |
planarity-doc-4.0.0.0-r0.apk | 12596 | 2025-Mar-16 10:08 |
planarity-libs-4.0.0.0-r0.apk | 88214 | 2025-Mar-16 10:08 |
planner-0.14.92-r1.apk | 379090 | 2024-Dec-08 21:45 |
planner-doc-0.14.92-r1.apk | 1941 | 2024-Dec-08 21:45 |
planner-lang-0.14.92-r1.apk | 844107 | 2024-Dec-08 21:45 |
please-0.5.6-r0.apk | 1095211 | 2025-Aug-29 08:36 |
please-doc-0.5.6-r0.apk | 16177 | 2025-Aug-29 08:36 |
plfit-1.0.1-r0.apk | 57807 | 2025-Jan-04 03:48 |
plfit-dev-1.0.1-r0.apk | 6369 | 2025-Jan-04 03:48 |
plfit-libs-1.0.1-r0.apk | 42773 | 2025-Jan-04 03:48 |
plfit-static-1.0.1-r0.apk | 51405 | 2025-Jan-04 03:48 |
plib-1.8.5-r3.apk | 967350 | 2024-Oct-25 20:53 |
plots-0.7.0-r1.apk | 527830 | 2024-Nov-06 09:43 |
plplot-5.15.0-r2.apk | 31984 | 2024-Oct-25 20:53 |
plplot-dev-5.15.0-r2.apk | 60149 | 2024-Oct-25 20:53 |
plplot-doc-5.15.0-r2.apk | 317761 | 2024-Oct-25 20:53 |
plplot-libs-5.15.0-r2.apk | 209990 | 2024-Oct-25 20:53 |
pmccabe-2.8-r1.apk | 28470 | 2024-Oct-25 20:53 |
pmccabe-doc-2.8-r1.apk | 7051 | 2024-Oct-25 20:53 |
pneink-theme-1.3-r0.apk | 9817 | 2025-Jul-30 00:51 |
pneink-theme-doc-1.3-r0.apk | 1424 | 2025-Jul-30 00:51 |
pnmixer-0.7.2-r3.apk | 146459 | 2024-Oct-25 20:53 |
pnmixer-doc-0.7.2-r3.apk | 2090 | 2024-Oct-25 20:53 |
pnmixer-lang-0.7.2-r3.apk | 25132 | 2024-Oct-25 20:53 |
pokoy-0.2.5-r0.apk | 9809 | 2024-Oct-25 20:53 |
pokoy-doc-0.2.5-r0.apk | 2798 | 2024-Oct-25 20:53 |
policycoreutils-3.6-r1.apk | 71398 | 2024-Oct-25 20:53 |
policycoreutils-bash-completion-3.6-r1.apk | 2203 | 2024-Oct-25 20:53 |
policycoreutils-doc-3.6-r1.apk | 22589 | 2024-Oct-25 20:53 |
policycoreutils-lang-3.6-r1.apk | 107657 | 2024-Oct-25 20:53 |
polyglot-2.0.4-r1.apk | 69145 | 2024-Oct-25 20:53 |
polyglot-doc-2.0.4-r1.apk | 48472 | 2024-Oct-25 20:53 |
pomo-0.8.1-r25.apk | 1677392 | 2025-Aug-08 16:55 |
pomo-doc-0.8.1-r25.apk | 2535 | 2025-Aug-08 16:55 |
pongoos-loader-0_git20210704-r1.apk | 2160 | 2024-Oct-25 20:53 |
pop-cursor-theme-3.5.1-r0.apk | 13437661 | 2025-Mar-25 07:55 |
pop-icon-theme-3.5.1-r0.apk | 1333690 | 2025-Mar-25 07:55 |
popeye-0.22.1-r6.apk | 27799935 | 2025-Aug-08 16:55 |
porla-0.41.0-r2.apk | 3796573 | 2025-Feb-24 15:35 |
porla-doc-0.41.0-r2.apk | 1982 | 2025-Feb-24 15:35 |
porla-openrc-0.41.0-r2.apk | 2484 | 2025-Feb-24 15:35 |
portsmf-239-r1.apk | 60612 | 2024-Oct-25 20:53 |
portsmf-dev-239-r1.apk | 20501 | 2024-Oct-25 20:53 |
postgresql-hll-2.18-r0.apk | 29047 | 2024-Oct-25 20:53 |
postgresql-hll-bitcode-2.18-r0.apk | 56970 | 2024-Oct-25 20:53 |
postgresql-pg_later-0.0.14-r1.apk | 669691 | 2024-Oct-25 20:53 |
postgresql-pg_variables-1.2.5_git20230922-r0.apk | 25318 | 2024-Oct-25 20:53 |
postgresql-pg_variables-bitcode-1.2.5_git2023092..> | 56189 | 2024-Oct-25 20:53 |
postgresql-pgmq-1.1.1-r1.apk | 290334 | 2024-Oct-25 20:53 |
postgresql16-wal2json-2.6-r0.apk | 74226 | 2024-Oct-25 20:53 |
pounce-3.1-r3.apk | 31692 | 2024-Oct-25 20:53 |
pounce-doc-3.1-r3.apk | 8494 | 2024-Oct-25 20:53 |
pounce-openrc-3.1-r3.apk | 2674 | 2024-Oct-25 20:53 |
powerstat-0.04.01-r0.apk | 19894 | 2024-Oct-25 20:53 |
powerstat-bash-completion-0.04.01-r0.apk | 2080 | 2024-Oct-25 20:53 |
powerstat-doc-0.04.01-r0.apk | 4097 | 2024-Oct-25 20:53 |
pptpclient-1.10.0-r6.apk | 33464 | 2025-Jun-30 09:24 |
pptpclient-doc-1.10.0-r6.apk | 7116 | 2025-Jun-30 09:24 |
pqiv-2.12-r1.apk | 69988 | 2024-Oct-25 20:53 |
pqiv-doc-2.12-r1.apk | 12049 | 2024-Oct-25 20:53 |
predict-2.3.1-r0.apk | 102453 | 2024-Nov-23 19:08 |
predict-doc-2.3.1-r0.apk | 16204 | 2024-Nov-23 19:08 |
primecount-7.19-r0.apk | 32230 | 2025-Aug-07 05:56 |
primecount-dev-7.19-r0.apk | 2062653 | 2025-Aug-07 05:56 |
primecount-doc-7.19-r0.apk | 3711 | 2025-Aug-07 05:56 |
primecount-libs-7.19-r0.apk | 165981 | 2025-Aug-07 05:56 |
primesieve-12.9-r0.apk | 47791 | 2025-Aug-07 05:56 |
primesieve-dev-12.9-r0.apk | 1434709 | 2025-Aug-07 05:56 |
primesieve-doc-12.9-r0.apk | 3897 | 2025-Aug-07 05:56 |
primesieve-libs-12.9-r0.apk | 132058 | 2025-Aug-07 05:56 |
prjtrellis-1.4-r2.apk | 1325966 | 2024-Oct-25 20:53 |
prjtrellis-db-0_git20230929-r0.apk | 3111 | 2024-Oct-25 20:53 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2236516 | 2024-Oct-25 20:53 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 39876 | 2024-Oct-25 20:53 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1037418 | 2024-Oct-25 20:53 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1117551 | 2024-Oct-25 20:53 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 765425 | 2024-Oct-25 20:53 |
projectm-3.1.12-r2.apk | 466033 | 2024-Oct-25 20:53 |
projectm-dev-3.1.12-r2.apk | 668046 | 2024-Oct-25 20:53 |
projectm-presets-3.1.12-r2.apk | 4573874 | 2024-Oct-25 20:53 |
projectm-pulseaudio-3.1.12-r2.apk | 431478 | 2024-Oct-25 20:53 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 1786 | 2024-Oct-25 20:53 |
projectm-sdl-3.1.12-r2.apk | 341586 | 2024-Oct-25 20:53 |
projectsandcastle-loader-0_git20200307-r1.apk | 5020 | 2024-Oct-25 20:53 |
prometheus-ceph-exporter-4.2.5-r6.apk | 3606942 | 2025-Aug-08 16:55 |
prometheus-ceph-exporter-openrc-4.2.5-r6.apk | 1681 | 2025-Aug-08 16:55 |
prometheus-opnsense-exporter-0.0.8-r2.apk | 4817992 | 2025-Aug-08 16:55 |
prometheus-opnsense-exporter-openrc-0.0.8-r2.apk | 1880 | 2025-Aug-08 16:55 |
prometheus-podman-exporter-1.17.2-r1.apk | 15579520 | 2025-Aug-08 16:55 |
prometheus-rethinkdb-exporter-1.0.1-r30.apk | 4212178 | 2025-Aug-08 16:55 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r30.apk | 1442 | 2025-Aug-08 16:55 |
prometheus-smartctl-exporter-0.14.0-r2.apk | 4674463 | 2025-Aug-08 16:55 |
prometheus-smartctl-exporter-openrc-0.14.0-r2.apk | 1676 | 2025-Aug-08 16:55 |
prometheus-smtp2go-exporter-0.1.1-r1.apk | 3454782 | 2025-Aug-08 16:55 |
prometheus-smtp2go-exporter-openrc-0.1.1-r1.apk | 1759 | 2025-Aug-08 16:55 |
prometheus-unbound-exporter-0.4.6-r5.apk | 3696656 | 2025-May-14 17:30 |
prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 1743 | 2025-May-14 17:30 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2881 | 2024-Oct-25 20:53 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1850 | 2024-Oct-25 20:53 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2703 | 2024-Oct-25 20:53 |
prosody-mod-block_registrations-0.11_hg20201208-..> | 1808 | 2024-Oct-25 20:53 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3184 | 2024-Oct-25 20:53 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1894 | 2024-Oct-25 20:53 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0..> | 2051 | 2024-Oct-25 20:53 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7385 | 2024-Oct-25 20:53 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3345 | 2024-Oct-25 20:53 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2898 | 2024-Oct-25 20:53 |
prosody-mod-http_upload_external-0.11_hg20201208..> | 2960 | 2024-Oct-25 20:53 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2003 | 2024-Oct-25 20:53 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1808 | 2024-Oct-25 20:53 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2235 | 2024-Oct-25 20:53 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 6025 | 2024-Oct-25 20:53 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5686 | 2024-Oct-25 20:53 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0...> | 7023 | 2024-Oct-25 20:53 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3807 | 2024-Oct-25 20:53 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 105990 | 2024-Oct-25 20:53 |
prosody-mod-register_redirect-0.11_hg20201208-r0..> | 2773 | 2024-Oct-25 20:53 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2047 | 2024-Oct-25 20:53 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1754 | 2024-Oct-25 20:53 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0...> | 2063 | 2024-Oct-25 20:53 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1645 | 2024-Oct-25 20:53 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2841 | 2024-Oct-25 20:53 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8786 | 2024-Oct-25 20:53 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2085 | 2024-Oct-25 20:53 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2072 | 2024-Oct-25 20:53 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2725 | 2024-Oct-25 20:53 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2699 | 2024-Oct-25 20:53 |
prosody-modules-0.11_hg20201208-r0.apk | 1487 | 2024-Oct-25 20:53 |
protoc-gen-go-1.36.6-r2.apk | 2294511 | 2025-Aug-08 16:55 |
protoconf-0.1.7-r15.apk | 7690106 | 2025-Aug-08 16:55 |
psftools-1.1.2-r0.apk | 311731 | 2024-Oct-25 20:53 |
psftools-dev-1.1.2-r0.apk | 82066 | 2024-Oct-25 20:53 |
psftools-doc-1.1.2-r0.apk | 60809 | 2024-Oct-25 20:53 |
psi-notify-1.3.1-r0.apk | 11255 | 2024-Oct-25 20:53 |
pspp-2.0.1-r0.apk | 10142059 | 2024-Oct-25 20:53 |
pspp-dbg-2.0.1-r0.apk | 4346541 | 2024-Oct-25 20:53 |
pspp-doc-2.0.1-r0.apk | 8963 | 2024-Oct-25 20:53 |
psst-0_git20240526-r1.apk | 7814278 | 2024-Oct-25 20:53 |
ptpd-2.3.1-r1.apk | 173252 | 2024-Oct-25 20:53 |
ptpd-doc-2.3.1-r1.apk | 20503 | 2024-Oct-25 20:53 |
ptpd-openrc-2.3.1-r1.apk | 2179 | 2024-Oct-25 20:53 |
ptylie-0.2-r2.apk | 12181 | 2025-May-14 17:30 |
ptylie-doc-0.2-r2.apk | 2968 | 2025-May-14 17:30 |
pug-0.6.2-r1.apk | 4085640 | 2025-Aug-08 16:55 |
pully-1.0.0-r0.apk | 2587 | 2024-Oct-25 20:53 |
pully-openrc-1.0.0-r0.apk | 1759 | 2024-Oct-25 20:53 |
pulsar-client-cpp-3.7.1-r0.apk | 1522199 | 2025-Jun-12 14:19 |
pulsar-client-cpp-dev-3.7.1-r0.apk | 65738 | 2025-Jun-12 14:19 |
pulseview-0.4.2-r8.apk | 1047575 | 2024-Oct-25 20:53 |
pulseview-doc-0.4.2-r8.apk | 3480 | 2024-Oct-25 20:53 |
purple-facebook-0.9.6-r0.apk | 80820 | 2024-Oct-25 20:53 |
purple-hangouts-0_git20200422-r0.apk | 236835 | 2024-Oct-25 20:53 |
pw-volume-0.5.0-r1.apk | 355295 | 2024-Oct-25 20:53 |
pwauth-2.3.11-r2.apk | 3785 | 2024-Oct-25 20:53 |
pwauth-doc-2.3.11-r2.apk | 6697 | 2024-Oct-25 20:53 |
pxalarm-3.0.0-r0.apk | 2683 | 2024-Oct-25 20:53 |
pxmenu-1.0.0-r1.apk | 2681 | 2024-Oct-25 20:53 |
py-spy-0.3.14-r3.apk | 981165 | 2024-Oct-25 20:53 |
py-spy-bash-completion-0.3.14-r3.apk | 2130 | 2024-Oct-25 20:53 |
py-spy-doc-0.3.14-r3.apk | 2058 | 2024-Oct-25 20:53 |
py-spy-fish-completion-0.3.14-r3.apk | 2366 | 2024-Oct-25 20:53 |
py-spy-zsh-completion-0.3.14-r3.apk | 2834 | 2024-Oct-25 20:53 |
py3-actdiag-3.0.0-r5.apk | 17420 | 2024-Oct-25 20:53 |
py3-actdiag-pyc-3.0.0-r5.apk | 21738 | 2024-Oct-25 20:53 |
py3-aesedb-0.1.6-r3.apk | 36540 | 2025-May-29 12:09 |
py3-aesedb-pyc-0.1.6-r3.apk | 76204 | 2025-May-29 12:09 |
py3-agithub-2.2.2-r7.apk | 19031 | 2025-Mar-19 13:45 |
py3-agithub-pyc-2.2.2-r7.apk | 21527 | 2025-Mar-19 13:45 |
py3-aiodocker-0.21.0-r1.apk | 29753 | 2024-Oct-25 20:53 |
py3-aiodocker-pyc-0.21.0-r1.apk | 61569 | 2024-Oct-25 20:53 |
py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 456349 | 2024-Oct-25 20:53 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 52152 | 2024-Oct-25 20:53 |
py3-aiohttp-jinja2-1.6-r2.apk | 12418 | 2024-Oct-25 20:53 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9185 | 2024-Oct-25 20:53 |
py3-aiohttp-remotes-1.3.0-r0.apk | 9998 | 2024-Nov-04 12:28 |
py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 19047 | 2024-Nov-04 12:28 |
py3-aiohttp-session-2.12.1-r0.apk | 10417 | 2024-Oct-25 20:53 |
py3-aiohttp-session-pyc-2.12.1-r0.apk | 14885 | 2024-Oct-25 20:53 |
py3-aioopenssl-0.6.0-r4.apk | 21053 | 2024-Oct-25 20:53 |
py3-aioopenssl-pyc-0.6.0-r4.apk | 19327 | 2024-Oct-25 20:53 |
py3-aiosasl-0.5.0-r4.apk | 30026 | 2024-Oct-25 20:53 |
py3-aiosasl-doc-0.5.0-r4.apk | 16584 | 2024-Oct-25 20:53 |
py3-aiosasl-pyc-0.5.0-r4.apk | 24232 | 2024-Oct-25 20:53 |
py3-aiosmb-0.4.13-r0.apk | 625391 | 2025-Jul-28 21:22 |
py3-aiosmb-pyc-0.4.13-r0.apk | 1167340 | 2025-Jul-28 21:22 |
py3-aiowinreg-0.0.12-r1.apk | 22368 | 2025-May-29 12:09 |
py3-aiowinreg-pyc-0.0.12-r1.apk | 45394 | 2025-May-29 12:09 |
py3-aioxmpp-0.13.3-r3.apk | 396715 | 2024-Oct-25 20:53 |
py3-aioxmpp-doc-0.13.3-r3.apk | 18512 | 2024-Oct-25 20:53 |
py3-aioxmpp-pyc-0.13.3-r3.apk | 689011 | 2024-Oct-25 20:53 |
py3-allfiles-1.0-r8.apk | 3388 | 2024-Oct-25 20:53 |
py3-allfiles-pyc-1.0-r8.apk | 3079 | 2024-Oct-25 20:53 |
py3-altgraph-0.17.4-r1.apk | 20960 | 2024-Oct-25 20:53 |
py3-altgraph-pyc-0.17.4-r1.apk | 29565 | 2024-Oct-25 20:53 |
py3-ansi2html-1.9.2-r0.apk | 17801 | 2024-Oct-25 20:53 |
py3-ansi2html-pyc-1.9.2-r0.apk | 22144 | 2024-Oct-25 20:53 |
py3-ansible-pylibssh-1.2.2-r0.apk | 251755 | 2025-Jul-18 07:53 |
py3-anyascii-0.3.2-r1.apk | 281054 | 2024-Oct-25 20:53 |
py3-anyascii-pyc-0.3.2-r1.apk | 3144 | 2024-Oct-25 20:53 |
py3-apicula-0.11.1-r1.apk | 8891574 | 2024-Oct-25 20:53 |
py3-apicula-pyc-0.11.1-r1.apk | 183523 | 2024-Oct-25 20:53 |
py3-apio-0.9.5-r0.apk | 73828 | 2024-Oct-25 20:53 |
py3-apio-pyc-0.9.5-r0.apk | 78789 | 2024-Oct-25 20:53 |
py3-apsw-3.50.4.0-r0.apk | 863674 | 2025-Aug-14 07:59 |
py3-apsw-pyc-3.50.4.0-r0.apk | 568357 | 2025-Aug-14 07:59 |
py3-apt-2.9.9-r0.apk | 181058 | 2025-Jul-14 03:36 |
py3-apt-lang-2.9.9-r0.apk | 81148 | 2025-Jul-14 03:36 |
py3-apt-pyc-2.9.9-r0.apk | 121606 | 2025-Jul-14 03:36 |
py3-arcus-5.3.0-r5.apk | 96575 | 2025-Jun-12 14:19 |
py3-asif-0.3.2-r3.apk | 13399 | 2024-Oct-25 20:53 |
py3-asif-pyc-0.3.2-r3.apk | 26236 | 2024-Oct-25 20:53 |
py3-ask-0.0.8-r8.apk | 4855 | 2024-Oct-25 20:53 |
py3-ask-pyc-0.0.8-r8.apk | 4315 | 2024-Oct-25 20:53 |
py3-astral-3.2-r3.apk | 37650 | 2024-Oct-25 20:53 |
py3-astral-pyc-3.2-r3.apk | 60071 | 2024-Oct-25 20:53 |
py3-asyauth-0.0.22-r0.apk | 79831 | 2025-Jul-28 21:23 |
py3-asyauth-pyc-0.0.22-r0.apk | 175805 | 2025-Jul-28 21:23 |
py3-asysocks-0.2.17-r0.apk | 95976 | 2025-Jul-28 21:23 |
py3-asysocks-pyc-0.2.17-r0.apk | 265232 | 2025-Jul-28 21:23 |
py3-avro-1.11.3-r1.apk | 99750 | 2024-Oct-25 20:53 |
py3-avro-pyc-1.11.3-r1.apk | 195687 | 2024-Oct-25 20:53 |
py3-b2sdk-2.8.1-r0.apk | 219807 | 2025-May-04 02:50 |
py3-b2sdk-pyc-2.8.1-r0.apk | 412034 | 2025-May-04 02:50 |
py3-banal-1.0.6-r4.apk | 6777 | 2024-Oct-25 20:53 |
py3-banal-pyc-1.0.6-r4.apk | 7117 | 2024-Oct-25 20:53 |
py3-bandwidth-sdk-3.1.0-r8.apk | 46839 | 2024-Oct-25 20:53 |
py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 70589 | 2024-Oct-25 20:53 |
py3-barcodenumber-0.2.1-r10.apk | 16454 | 2024-Oct-25 20:53 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4099 | 2024-Oct-25 20:53 |
py3-base58-2.1.1-r2.apk | 11094 | 2024-Oct-25 20:53 |
py3-beartype-0.21.0-r0.apk | 948389 | 2025-May-25 13:50 |
py3-beartype-pyc-0.21.0-r0.apk | 688531 | 2025-May-25 13:50 |
py3-bencode-4.0.0-r1.apk | 17236 | 2024-Oct-25 20:53 |
py3-bencode-pyc-4.0.0-r1.apk | 10447 | 2024-Oct-25 20:53 |
py3-bibtexparser-1.4.3-r0.apk | 40935 | 2025-Jan-19 11:58 |
py3-bibtexparser-pyc-1.4.3-r0.apk | 49689 | 2025-Jan-19 11:58 |
py3-bidict-0.23.1-r1.apk | 28119 | 2024-Oct-25 20:53 |
py3-bidict-pyc-0.23.1-r1.apk | 29186 | 2024-Oct-25 20:53 |
py3-binson-python-0.0.1-r0.apk | 8668 | 2025-Aug-26 18:05 |
py3-binson-python-pyc-0.0.1-r0.apk | 13666 | 2025-Aug-26 18:05 |
py3-bite-parser-0.2.5-r0.apk | 13700 | 2024-Oct-28 21:51 |
py3-bite-parser-pyc-0.2.5-r0.apk | 23789 | 2024-Oct-28 21:51 |
py3-bitstruct-8.19.0-r1.apk | 35994 | 2024-Oct-25 20:53 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12825 | 2024-Oct-25 20:53 |
py3-bleak-0.22.3-r0.apk | 378422 | 2024-Oct-25 20:53 |
py3-blockchain-1.4.4-r7.apk | 10968 | 2024-Oct-25 20:53 |
py3-blockchain-pyc-1.4.4-r7.apk | 18023 | 2024-Oct-25 20:53 |
py3-blockdiag-3.0.0-r6.apk | 69387 | 2025-Jan-10 12:33 |
py3-blockdiag-pyc-3.0.0-r6.apk | 152140 | 2025-Jan-10 12:33 |
py3-blockdiag-tests-3.0.0-r6.apk | 2626822 | 2025-Jan-10 12:33 |
py3-bookkeeper-4.17.2-r0.apk | 43777 | 2025-Jul-13 21:42 |
py3-bookkeeper-pyc-4.17.2-r0.apk | 68024 | 2025-Jul-13 21:42 |
py3-bottle-api-0.0.4-r7.apk | 4726 | 2024-Oct-25 20:53 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5073 | 2024-Oct-25 20:53 |
py3-bottle-pgsql-0.2-r5.apk | 4108 | 2024-Oct-25 20:53 |
py3-bottle-redis-0.2.3-r6.apk | 3115 | 2024-Oct-25 20:53 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 2938 | 2024-Oct-25 20:53 |
py3-bottle-renderer-0.1.1-r9.apk | 3814 | 2024-Oct-25 20:53 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3561 | 2024-Oct-25 20:53 |
py3-bottle-request-0.2.0-r9.apk | 3022 | 2024-Oct-25 20:53 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2396 | 2024-Oct-25 20:53 |
py3-bottle-rest-0.6.0-r1.apk | 5992 | 2024-Oct-25 20:53 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 5035 | 2024-Oct-25 20:53 |
py3-bottle-session-1.0-r6.apk | 10174 | 2024-Oct-25 20:53 |
py3-bottle-session-pyc-1.0-r6.apk | 7716 | 2024-Oct-25 20:53 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4701 | 2024-Oct-25 20:53 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5502 | 2024-Oct-25 20:53 |
py3-bottle-sqlite-0.2.0-r7.apk | 4546 | 2024-Oct-25 20:53 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5096 | 2024-Oct-25 20:53 |
py3-bottle-websocket-0.2.9-r8.apk | 4489 | 2024-Oct-25 20:53 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 2946 | 2024-Oct-25 20:53 |
py3-bottle-werkzeug-0.1.1-r9.apk | 3927 | 2024-Oct-25 20:53 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4090 | 2024-Oct-25 20:53 |
py3-bson-0.5.10-r6.apk | 11709 | 2024-Oct-25 20:53 |
py3-bson-pyc-0.5.10-r6.apk | 18685 | 2024-Oct-25 20:53 |
py3-businesstime-0.3.0-r9.apk | 10624 | 2024-Oct-25 20:53 |
py3-businesstime-pyc-0.3.0-r9.apk | 16465 | 2024-Oct-25 20:53 |
py3-c3d-0.5.2-r1.apk | 32580 | 2024-Oct-25 20:53 |
py3-c3d-pyc-0.5.2-r1.apk | 54722 | 2024-Oct-25 20:53 |
py3-caldav-2.0.1-r0.apk | 89464 | 2025-Jun-26 14:11 |
py3-caldav-pyc-2.0.1-r0.apk | 120113 | 2025-Jun-26 14:11 |
py3-cassandra-driver-3.29.2-r0.apk | 292439 | 2024-Oct-25 20:53 |
py3-cassandra-driver-pyc-3.29.2-r0.apk | 573123 | 2024-Oct-25 20:53 |
py3-catkin-pkg-0.5.2-r4.apk | 58256 | 2024-Oct-25 20:53 |
py3-catkin-pkg-pyc-0.5.2-r4.apk | 104834 | 2024-Oct-25 20:53 |
py3-cchardet-2.1.7-r5.apk | 127663 | 2024-Oct-25 20:53 |
py3-cchardet-pyc-2.1.7-r5.apk | 2858 | 2024-Oct-25 20:53 |
py3-cdio-2.1.1-r6.apk | 102980 | 2025-Jan-20 20:44 |
py3-cdio-pyc-2.1.1-r6.apk | 43918 | 2025-Jan-20 20:44 |
py3-certauth-1.3.0-r1.apk | 8652 | 2024-Oct-25 20:53 |
py3-certauth-pyc-1.3.0-r1.apk | 9040 | 2024-Oct-25 20:53 |
py3-chameleon-4.6.0-r0.apk | 99201 | 2025-Jul-06 09:21 |
py3-chameleon-pyc-4.6.0-r0.apk | 133499 | 2025-Jul-06 09:21 |
py3-ciso8601-2.3.2-r0.apk | 16808 | 2025-Aug-03 09:57 |
py3-cjkwrap-2.2-r6.apk | 4357 | 2025-May-14 19:18 |
py3-cjkwrap-pyc-2.2-r6.apk | 5108 | 2025-May-14 19:18 |
py3-clang-next-22.0.0_pre20250830-r0.apk | 34251 | 2025-Aug-31 17:48 |
py3-clang-next-pyc-22.0.0_pre20250830-r0.apk | 61482 | 2025-Aug-31 17:48 |
py3-class-doc-1.25-r1.apk | 5937 | 2024-Oct-25 20:53 |
py3-class-doc-pyc-1.25-r1.apk | 8655 | 2024-Oct-25 20:53 |
py3-click-completion-0.5.2-r1.apk | 10785 | 2024-Oct-25 20:53 |
py3-click-completion-pyc-0.5.2-r1.apk | 14320 | 2024-Oct-25 20:53 |
py3-click-default-group-1.2.4-r1.apk | 5006 | 2024-Oct-25 20:53 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4369 | 2024-Oct-25 20:53 |
py3-click-threading-0.5.0-r5.apk | 6234 | 2024-Oct-25 20:53 |
py3-click-threading-pyc-0.5.0-r5.apk | 7778 | 2024-Oct-25 20:53 |
py3-clickclick-20.10.2-r4.apk | 7876 | 2024-Oct-25 20:53 |
py3-clickclick-pyc-20.10.2-r4.apk | 9744 | 2024-Oct-25 20:53 |
py3-cmd2-2.4.3-r2.apk | 142498 | 2024-Oct-25 20:53 |
py3-cmd2-pyc-2.4.3-r2.apk | 227693 | 2024-Oct-25 20:53 |
py3-cobs-1.2.0-r4.apk | 21423 | 2024-Oct-25 20:53 |
py3-cobs-pyc-1.2.0-r4.apk | 12487 | 2024-Oct-25 20:53 |
py3-colander-2.0-r2.apk | 63700 | 2024-Oct-25 20:53 |
py3-colander-pyc-2.0-r2.apk | 43235 | 2024-Oct-25 20:53 |
py3-colorthief-0.2.1-r1.apk | 7224 | 2024-Oct-25 20:53 |
py3-colorthief-pyc-0.2.1-r1.apk | 10026 | 2024-Oct-25 20:53 |
py3-columnize-0.3.11-r4.apk | 8466 | 2024-Oct-25 20:53 |
py3-columnize-pyc-0.3.11-r4.apk | 7397 | 2024-Oct-25 20:53 |
py3-compdb-0.2.0-r8.apk | 23601 | 2024-Oct-25 20:53 |
py3-compdb-doc-0.2.0-r8.apk | 2851 | 2024-Oct-25 20:53 |
py3-compdb-pyc-0.2.0-r8.apk | 40315 | 2024-Oct-25 20:53 |
py3-confluent-kafka-2.9.0-r0.apk | 191338 | 2025-May-15 09:44 |
py3-confluent-kafka-pyc-2.9.0-r0.apk | 232002 | 2025-May-15 09:44 |
py3-cookiecutter-2.6.0-r1.apk | 35955 | 2024-Oct-25 20:53 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3549 | 2024-Oct-25 20:53 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 48370 | 2024-Oct-25 20:53 |
py3-coreapi-2.3.3-r9.apk | 22492 | 2024-Oct-25 20:53 |
py3-coreapi-pyc-2.3.3-r9.apk | 44037 | 2024-Oct-25 20:53 |
py3-crc16-0.1.1-r10.apk | 12705 | 2024-Oct-25 20:53 |
py3-crc16-pyc-0.1.1-r10.apk | 4552 | 2024-Oct-25 20:53 |
py3-createrepo_c-1.1.4-r0.apk | 44396 | 2024-Oct-25 20:53 |
py3-createrepo_c-pyc-1.1.4-r0.apk | 14598 | 2024-Oct-25 20:53 |
py3-croniter-6.0.0-r0.apk | 26158 | 2025-Aug-25 09:06 |
py3-croniter-pyc-6.0.0-r0.apk | 25933 | 2025-Aug-25 09:06 |
py3-cryptg-0.5.0-r0.apk | 206922 | 2025-May-08 12:40 |
py3-cryptg-pyc-0.5.0-r0.apk | 1688 | 2025-May-08 12:40 |
py3-cssutils-2.11.1-r1.apk | 158660 | 2024-Oct-25 20:53 |
py3-cssutils-pyc-2.11.1-r1.apk | 285068 | 2024-Oct-25 20:53 |
py3-cstruct-6.1-r0.apk | 23464 | 2025-Aug-21 23:08 |
py3-cstruct-pyc-6.1-r0.apk | 38266 | 2025-Aug-21 23:08 |
py3-cucumber-tag-expressions-6.1.1-r0.apk | 8608 | 2024-Dec-01 20:14 |
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10408 | 2024-Dec-01 20:14 |
py3-cvxpy-1.2.1-r5.apk | 708118 | 2024-Oct-25 20:53 |
py3-cvxpy-pyc-1.2.1-r5.apk | 958283 | 2024-Oct-25 20:53 |
py3-cython-test-exception-raiser-1.0.2-r0.apk | 18486 | 2024-Oct-25 20:53 |
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk | 1605 | 2024-Oct-25 20:53 |
py3-dash-bootstrap-components-1.6.0-r0.apk | 16613 | 2025-Apr-13 09:25 |
py3-dataclasses-json-0.6.7-r0.apk | 27802 | 2024-Oct-25 20:53 |
py3-dataclasses-json-pyc-0.6.7-r0.apk | 36247 | 2024-Oct-25 20:53 |
py3-dataclasses-serialization-1.3.1-r3.apk | 10955 | 2024-Oct-25 20:53 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14351 | 2024-Oct-25 20:53 |
py3-dateparser-1.2.2-r0.apk | 221144 | 2025-Jul-06 19:47 |
py3-dateparser-pyc-1.2.2-r0.apk | 342974 | 2025-Jul-06 19:47 |
py3-daterangestr-0.0.3-r8.apk | 4192 | 2024-Oct-25 20:53 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4059 | 2024-Oct-25 20:53 |
py3-dbus-fast-2.44.3-r0.apk | 897925 | 2025-Aug-10 20:16 |
py3-dbus-fast-doc-2.44.3-r0.apk | 6129 | 2025-Aug-10 20:16 |
py3-dbus-fast-pyc-2.44.3-r0.apk | 131677 | 2025-Aug-10 20:16 |
py3-deluge-client-1.10.2-r0.apk | 12938 | 2024-Oct-25 20:53 |
py3-deluge-client-doc-1.10.2-r0.apk | 2043 | 2024-Oct-25 20:53 |
py3-deluge-client-pyc-1.10.2-r0.apk | 19859 | 2024-Oct-25 20:53 |
py3-dexml-0.5.1-r9.apk | 22477 | 2024-Oct-25 20:53 |
py3-dexml-pyc-0.5.1-r9.apk | 38122 | 2024-Oct-25 20:53 |
py3-discid-1.3.0-r0.apk | 12571 | 2025-Jul-28 21:30 |
py3-discid-pyc-1.3.0-r0.apk | 13525 | 2025-Jul-28 21:30 |
py3-distorm3-3.5.2-r6.apk | 48310 | 2024-Oct-25 20:53 |
py3-distorm3-pyc-3.5.2-r6.apk | 49555 | 2024-Oct-25 20:53 |
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 14739 | 2024-Oct-25 20:53 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0-..> | 14997 | 2024-Oct-25 20:53 |
py3-django-suit-0.2.28-r8.apk | 374278 | 2024-Oct-25 20:53 |
py3-django-suit-pyc-0.2.28-r8.apk | 33012 | 2024-Oct-25 20:53 |
py3-django-taggit-serializer-0.1.7-r8.apk | 3855 | 2024-Oct-25 20:53 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 4809 | 2024-Oct-25 20:53 |
py3-dnslib-0.9.26-r0.apk | 57482 | 2025-Sep-01 18:15 |
py3-dnslib-pyc-0.9.26-r0.apk | 111424 | 2025-Sep-01 18:15 |
py3-dogpile.cache-1.3.3-r1.apk | 53575 | 2025-May-14 18:20 |
py3-dogpile.cache-pyc-1.3.3-r1.apk | 92386 | 2025-May-14 18:20 |
py3-doi-0.2-r0.apk | 6047 | 2025-Apr-12 12:09 |
py3-doi-pyc-0.2-r0.apk | 4593 | 2025-Apr-12 12:09 |
py3-doit-0.36.0-r5.apk | 78074 | 2024-Oct-25 20:53 |
py3-doit-pyc-0.36.0-r5.apk | 136181 | 2024-Oct-25 20:53 |
py3-dominate-2.9.1-r1.apk | 25080 | 2024-Oct-25 20:53 |
py3-dominate-pyc-2.9.1-r1.apk | 34276 | 2024-Oct-25 20:53 |
py3-dotty-dict-1.3.1-r4.apk | 8336 | 2024-Oct-25 20:53 |
py3-dotty-dict-pyc-1.3.1-r4.apk | 8622 | 2024-Oct-25 20:53 |
py3-downloader-cli-0.3.4-r2.apk | 11174 | 2025-May-14 18:20 |
py3-downloader-cli-pyc-0.3.4-r2.apk | 14198 | 2025-May-14 18:20 |
py3-dpath-2.2.0-r0.apk | 17171 | 2024-Oct-25 20:53 |
py3-dpath-pyc-2.2.0-r0.apk | 17925 | 2024-Oct-25 20:53 |
py3-drf-yasg-1.21.10-r0.apk | 4246669 | 2025-Jul-15 08:29 |
py3-drf-yasg-pyc-1.21.10-r0.apk | 100311 | 2025-Jul-15 08:29 |
py3-dunamai-1.25.0-r0.apk | 27235 | 2025-Jul-25 22:24 |
py3-dunamai-pyc-1.25.0-r0.apk | 44634 | 2025-Jul-25 22:24 |
py3-duniterpy-1.1.1-r3.apk | 226369 | 2024-Oct-25 20:54 |
py3-dweepy-0.3.0-r7.apk | 9041 | 2024-Oct-25 20:54 |
py3-dweepy-pyc-0.3.0-r7.apk | 6142 | 2024-Oct-25 20:54 |
py3-ecbdata-0.1.1-r0.apk | 13529 | 2025-Apr-14 00:18 |
py3-ecos-2.0.11-r4.apk | 28403 | 2024-Oct-25 20:54 |
py3-ecos-pyc-2.0.11-r4.apk | 3454 | 2024-Oct-25 20:54 |
py3-edalize-0.5.4-r0.apk | 125280 | 2024-Oct-25 20:54 |
py3-edalize-pyc-0.5.4-r0.apk | 194470 | 2024-Oct-25 20:54 |
py3-editdistance-s-1.0.0-r6.apk | 15306 | 2024-Oct-25 20:54 |
py3-editdistance-s-pyc-1.0.0-r6.apk | 1808 | 2024-Oct-25 20:54 |
py3-empy-3.3.4-r7.apk | 39993 | 2024-Oct-25 20:54 |
py3-empy-pyc-3.3.4-r7.apk | 59628 | 2024-Oct-25 20:54 |
py3-enlighten-1.14.1-r0.apk | 37519 | 2025-Aug-28 09:32 |
py3-enlighten-pyc-1.14.1-r0.apk | 47252 | 2025-Aug-28 09:32 |
py3-enzyme-0.5.2-r0.apk | 23001 | 2025-Jul-12 15:31 |
py3-enzyme-pyc-0.5.2-r0.apk | 18984 | 2025-Jul-12 15:31 |
py3-eradicate-2.3.0-r2.apk | 7485 | 2024-Oct-25 20:54 |
py3-eradicate-doc-2.3.0-r2.apk | 2314 | 2024-Oct-25 20:54 |
py3-eradicate-pyc-2.3.0-r2.apk | 8284 | 2024-Oct-25 20:54 |
py3-euclid3-0.01-r8.apk | 14246 | 2024-Oct-25 20:54 |
py3-euclid3-pyc-0.01-r8.apk | 33072 | 2024-Oct-25 20:54 |
py3-evalidate-2.0.5-r0.apk | 9360 | 2025-Aug-25 09:06 |
py3-evalidate-pyc-2.0.5-r0.apk | 6229 | 2025-Aug-25 09:06 |
py3-eventlet-0.38.1-r0.apk | 339915 | 2024-Dec-11 21:38 |
py3-eventlet-pyc-0.38.1-r0.apk | 343431 | 2024-Dec-11 21:38 |
py3-evohome-client-0.3.9-r0.apk | 19013 | 2025-Jul-13 21:33 |
py3-evohome-client-pyc-0.3.9-r0.apk | 27695 | 2025-Jul-13 21:33 |
py3-fastavro-1.12.0-r0.apk | 444590 | 2025-Aug-01 02:40 |
py3-fastavro-pyc-1.12.0-r0.apk | 83644 | 2025-Aug-01 02:40 |
py3-fastdiff-0.3.0-r5.apk | 39090 | 2024-Oct-25 20:54 |
py3-fastdiff-pyc-0.3.0-r5.apk | 4038 | 2024-Oct-25 20:54 |
py3-feedgen-1.0.0-r1.apk | 40932 | 2024-Oct-25 20:54 |
py3-feedgen-pyc-1.0.0-r1.apk | 62904 | 2024-Oct-25 20:54 |
py3-feedgenerator-2.1.0-r2.apk | 18189 | 2024-Oct-25 20:54 |
py3-feedgenerator-pyc-2.1.0-r2.apk | 27125 | 2024-Oct-25 20:54 |
py3-ffmpeg-0.2.0-r5.apk | 23931 | 2025-May-14 18:20 |
py3-ffmpeg-pyc-0.2.0-r5.apk | 33126 | 2025-May-14 18:20 |
py3-firmata-1.0.3-r10.apk | 14256 | 2024-Oct-25 20:54 |
py3-firmata-pyc-1.0.3-r10.apk | 21111 | 2024-Oct-25 20:54 |
py3-flake8-blind-except-0.2.1-r4.apk | 5063 | 2024-Oct-25 20:54 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2388 | 2024-Oct-25 20:54 |
py3-flake8-builtins-2.5.0-r0.apk | 12772 | 2024-Dec-07 21:51 |
py3-flake8-builtins-pyc-2.5.0-r0.apk | 7798 | 2024-Dec-07 21:51 |
py3-flake8-copyright-0.2.4-r3.apk | 18417 | 2024-Oct-25 20:54 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3152 | 2024-Oct-25 20:54 |
py3-flake8-debugger-4.1.2-r4.apk | 6119 | 2024-Oct-25 20:54 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 5842 | 2024-Oct-25 20:54 |
py3-flake8-import-order-0.18.2-r4.apk | 15512 | 2024-Oct-25 20:54 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16909 | 2024-Oct-25 20:54 |
py3-flake8-isort-6.1.1-r1.apk | 18365 | 2024-Oct-25 20:54 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5233 | 2024-Oct-25 20:54 |
py3-flake8-polyfill-1.0.2-r5.apk | 6974 | 2024-Oct-25 20:54 |
py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5563 | 2024-Oct-25 20:54 |
py3-flake8-print-5.0.0-r5.apk | 6615 | 2024-Oct-25 20:54 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4251 | 2024-Oct-25 20:54 |
py3-flake8-snippets-0.2-r8.apk | 5185 | 2024-Oct-25 20:54 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3495 | 2024-Oct-25 20:54 |
py3-flake8-todo-0.7-r7.apk | 3416 | 2024-Oct-25 20:54 |
py3-flake8-todo-pyc-0.7-r7.apk | 2017 | 2024-Oct-25 20:54 |
py3-flask-accept-0.0.7-r0.apk | 5441 | 2025-Jul-12 15:31 |
py3-flask-accept-pyc-0.0.7-r0.apk | 3502 | 2025-Jul-12 15:31 |
py3-flask-admin-1.6.1-r3.apk | 6838550 | 2024-Oct-25 20:54 |
py3-flask-admin-pyc-1.6.1-r3.apk | 366629 | 2024-Oct-25 20:54 |
py3-flask-autorouter-0.2.2-r3.apk | 4941 | 2024-Oct-25 20:54 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 4803 | 2024-Oct-25 20:54 |
py3-flask-basicauth-0.2.0-r9.apk | 5200 | 2024-Oct-25 20:54 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 3908 | 2024-Oct-25 20:54 |
py3-flask-bcrypt-1.0.1-r5.apk | 7049 | 2024-Oct-25 20:54 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5676 | 2024-Oct-25 20:54 |
py3-flask-bootstrap-3.3.7.1-r9.apk | 459128 | 2025-May-14 17:30 |
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 10867 | 2025-May-14 17:30 |
py3-flask-cache-0.13.1-r9.apk | 12814 | 2024-Oct-25 20:54 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18454 | 2024-Oct-25 20:54 |
py3-flask-cdn-1.5.3-r8.apk | 4607 | 2024-Oct-25 20:54 |
py3-flask-cdn-pyc-1.5.3-r8.apk | 3912 | 2024-Oct-25 20:54 |
py3-flask-components-0.1.1-r9.apk | 3757 | 2024-Oct-25 20:54 |
py3-flask-components-pyc-0.1.1-r9.apk | 3119 | 2024-Oct-25 20:54 |
py3-flask-dbconfig-0.3.12-r8.apk | 87478 | 2024-Oct-25 20:54 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6139 | 2024-Oct-25 20:54 |
py3-flask-flatpages-0.8.3-r0.apk | 10688 | 2024-Dec-06 22:58 |
py3-flask-flatpages-pyc-0.8.3-r0.apk | 13711 | 2024-Dec-06 22:58 |
py3-flask-gzip-0.2-r8.apk | 2992 | 2024-Oct-25 20:54 |
py3-flask-gzip-pyc-0.2-r8.apk | 2630 | 2024-Oct-25 20:54 |
py3-flask-headers-1.0-r9.apk | 3017 | 2024-Oct-25 20:54 |
py3-flask-headers-pyc-1.0-r9.apk | 2247 | 2024-Oct-25 20:54 |
py3-flask-httpauth-4.8.0-r2.apk | 7909 | 2024-Oct-25 20:54 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10580 | 2024-Oct-25 20:54 |
py3-flask-json-schema-0.0.5-r4.apk | 3929 | 2024-Oct-25 20:54 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3256 | 2024-Oct-25 20:54 |
py3-flask-limiter-3.10.1-r0.apk | 26980 | 2025-Jan-19 16:40 |
py3-flask-limiter-pyc-3.10.1-r0.apk | 47840 | 2025-Jan-19 16:40 |
py3-flask-loopback-1.4.7-r7.apk | 5438 | 2024-Oct-25 20:54 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7848 | 2024-Oct-25 20:54 |
py3-flask-mailman-1.1.1-r0.apk | 16599 | 2024-Oct-25 20:54 |
py3-flask-mailman-pyc-1.1.1-r0.apk | 26091 | 2024-Oct-25 20:54 |
py3-flask-markdown-0.3-r8.apk | 5485 | 2024-Oct-25 20:54 |
py3-flask-markdown-pyc-0.3-r8.apk | 3688 | 2024-Oct-25 20:54 |
py3-flask-migrate-4.1.0-r0.apk | 13366 | 2025-Jul-13 21:54 |
py3-flask-migrate-pyc-4.1.0-r0.apk | 18587 | 2025-Jul-13 21:54 |
py3-flask-paginate-0.8.1-r6.apk | 8144 | 2024-Oct-25 20:54 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 11196 | 2024-Oct-25 20:54 |
py3-flask-peewee-3.0.6-r0.apk | 175906 | 2024-Oct-25 20:54 |
py3-flask-peewee-pyc-3.0.6-r0.apk | 97500 | 2024-Oct-25 20:54 |
py3-flask-qrcode-3.2.0-r0.apk | 18196 | 2024-Dec-12 06:32 |
py3-flask-qrcode-pyc-3.2.0-r0.apk | 6037 | 2024-Dec-12 06:32 |
py3-flask-restaction-0.25.3-r8.apk | 117337 | 2024-Oct-25 20:54 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 20022 | 2024-Oct-25 20:54 |
py3-flask-restless-0.17.0-r9.apk | 41188 | 2024-Oct-25 20:54 |
py3-flask-restless-pyc-0.17.0-r9.apk | 60214 | 2024-Oct-25 20:54 |
py3-flask-security-5.6.1-r0.apk | 301348 | 2025-Apr-21 21:40 |
py3-flask-security-pyc-5.6.1-r0.apk | 232116 | 2025-Apr-21 21:40 |
py3-flask-themer-2.0.0-r2.apk | 7817 | 2024-Oct-25 20:54 |
py3-flask-themer-pyc-2.0.0-r2.apk | 6872 | 2024-Oct-25 20:54 |
py3-forbiddenfruit-0.1.4-r2.apk | 8912 | 2024-Oct-25 20:54 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9624 | 2024-Oct-25 20:54 |
py3-fpdf-1.7.2-r5.apk | 40406 | 2024-Oct-25 20:54 |
py3-fpdf-pyc-1.7.2-r5.apk | 91052 | 2024-Oct-25 20:54 |
py3-freetype-py-2.5.1-r0.apk | 164543 | 2024-Oct-25 20:54 |
py3-furl-2.1.4-r0.apk | 27926 | 2025-Jul-13 21:53 |
py3-furl-pyc-2.1.4-r0.apk | 32999 | 2025-Jul-13 21:53 |
py3-gdcm-3.0.24-r2.apk | 693914 | 2025-Aug-04 12:59 |
py3-geoip-1.3.2-r4.apk | 23222 | 2024-Oct-25 20:54 |
py3-gevent-websocket-0.10.1-r8.apk | 19948 | 2024-Oct-25 20:54 |
py3-gevent-websocket-pyc-0.10.1-r8.apk | 30821 | 2024-Oct-25 20:54 |
py3-git-versioner-7.1-r1.apk | 11985 | 2024-Oct-25 20:54 |
py3-git-versioner-pyc-7.1-r1.apk | 13573 | 2024-Oct-25 20:54 |
py3-github3-4.0.1-r1.apk | 131078 | 2024-Oct-25 20:54 |
py3-github3-pyc-4.0.1-r1.apk | 232158 | 2024-Oct-25 20:54 |
py3-glob2-0.7-r6.apk | 10247 | 2024-Oct-25 20:54 |
py3-glob2-pyc-0.7-r6.apk | 12954 | 2024-Oct-25 20:54 |
py3-gls-1.3.1-r1.apk | 47627 | 2024-Oct-25 20:54 |
py3-gls-pyc-1.3.1-r1.apk | 85887 | 2024-Oct-25 20:54 |
py3-google-trans-new-1.1.9-r2.apk | 9185 | 2024-Oct-25 20:54 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10570 | 2024-Oct-25 20:54 |
py3-googletrans-3.0.0-r5.apk | 15301 | 2025-May-14 17:30 |
py3-googletrans-pyc-3.0.0-r5.apk | 17421 | 2025-May-14 17:30 |
py3-grequests-0.7.0-r3.apk | 6617 | 2025-May-14 18:20 |
py3-grequests-pyc-0.7.0-r3.apk | 5719 | 2025-May-14 18:20 |
py3-gtkspellcheck-5.0.3-r1.apk | 45592 | 2025-Aug-09 12:15 |
py3-gtkspellcheck-pyc-5.0.3-r1.apk | 30063 | 2025-Aug-09 12:15 |
py3-halo-0.0.31-r5.apk | 11474 | 2024-Oct-25 20:54 |
py3-halo-pyc-0.0.31-r5.apk | 13991 | 2024-Oct-25 20:54 |
py3-hatch-openzim-0.2.0-r0.apk | 24835 | 2024-Oct-25 20:54 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24493 | 2024-Oct-25 20:54 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12725 | 2024-Oct-25 20:54 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 13041 | 2024-Oct-25 20:54 |
py3-helper-2.5.0-r5.apk | 18958 | 2024-Oct-25 20:54 |
py3-helper-pyc-2.5.0-r5.apk | 28584 | 2024-Oct-25 20:54 |
py3-hfst-3.16.2-r0.apk | 377118 | 2025-Mar-28 16:14 |
py3-hg-git-1.1.1-r1.apk | 71514 | 2024-Oct-25 20:54 |
py3-hg-git-pyc-1.1.1-r1.apk | 109091 | 2024-Oct-25 20:54 |
py3-highctidh-1.0.2024092800-r0.apk | 416259 | 2024-Nov-25 20:41 |
py3-highctidh-pyc-1.0.2024092800-r0.apk | 11591 | 2024-Nov-25 20:41 |
py3-himitsu-0.0.9-r0.apk | 5683 | 2025-Aug-25 10:58 |
py3-himitsu-pyc-0.0.9-r0.apk | 7457 | 2025-Aug-25 10:58 |
py3-hishel-0.1.3-r0.apk | 33779 | 2025-Jul-08 23:27 |
py3-hishel-pyc-0.1.3-r0.apk | 74695 | 2025-Jul-08 23:27 |
py3-html5-parser-0.4.12-r1.apk | 176714 | 2024-Oct-25 20:54 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22541 | 2024-Oct-25 20:54 |
py3-hurry.filesize-0.9-r8.apk | 4455 | 2024-Oct-25 20:54 |
py3-hurry.filesize-pyc-0.9-r8.apk | 3067 | 2024-Oct-25 20:54 |
py3-hypercorn-0.17.3-r0.apk | 48283 | 2025-Jul-23 06:27 |
py3-hypercorn-pyc-0.17.3-r0.apk | 122897 | 2025-Jul-23 06:27 |
py3-igraph-0.11.9-r0.apk | 416770 | 2025-Jun-11 20:20 |
py3-igraph-dev-0.11.9-r0.apk | 2393 | 2025-Jun-11 20:20 |
py3-igraph-pyc-0.11.9-r0.apk | 379502 | 2025-Jun-11 20:20 |
py3-imageio-ffmpeg-0.4.9-r1.apk | 16621 | 2024-Oct-25 20:54 |
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20485 | 2024-Oct-25 20:54 |
py3-imdbpy-2021.4.18-r5.apk | 234232 | 2024-Oct-25 20:54 |
py3-imdbpy-pyc-2021.4.18-r5.apk | 248135 | 2024-Oct-25 20:54 |
py3-incoming-0.3.1-r8.apk | 12868 | 2024-Oct-25 20:54 |
py3-incoming-pyc-0.3.1-r8.apk | 20160 | 2024-Oct-25 20:54 |
py3-infinity-1.5-r6.apk | 4221 | 2024-Oct-25 20:54 |
py3-infinity-pyc-1.5-r6.apk | 3563 | 2024-Oct-25 20:54 |
py3-iniparse-0.5-r7.apk | 18872 | 2024-Oct-25 20:54 |
py3-iniparse-doc-0.5-r7.apk | 10328 | 2024-Oct-25 20:54 |
py3-iniparse-pyc-0.5-r7.apk | 24946 | 2024-Oct-25 20:54 |
py3-intervals-0.9.2-r5.apk | 9408 | 2024-Oct-25 20:54 |
py3-intervals-pyc-0.9.2-r5.apk | 15263 | 2024-Oct-25 20:54 |
py3-ioctl-opt-1.3-r0.apk | 11635 | 2025-Jan-27 21:38 |
py3-ioctl-opt-pyc-1.3-r0.apk | 4494 | 2025-Jan-27 21:38 |
py3-irc-20.4.1-r0.apk | 41613 | 2024-Oct-25 20:54 |
py3-irc-pyc-20.4.1-r0.apk | 72432 | 2024-Oct-25 20:54 |
py3-isbnlib-3.10.14-r0.apk | 43421 | 2025-Jan-19 11:58 |
py3-isbnlib-pyc-3.10.14-r0.apk | 68019 | 2025-Jan-19 11:58 |
py3-iso639-lang-2.2.3-r0.apk | 275174 | 2024-Oct-25 20:54 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9666 | 2024-Oct-25 20:54 |
py3-itemadapter-0.10.0-r0.apk | 11253 | 2024-Nov-30 20:42 |
py3-itemadapter-pyc-0.10.0-r0.apk | 12709 | 2024-Nov-30 20:42 |
py3-itemloaders-1.3.2-r1.apk | 12332 | 2025-Aug-09 12:15 |
py3-itemloaders-pyc-1.3.2-r1.apk | 16642 | 2025-Aug-09 12:15 |
py3-iterable-io-1.0.0-r0.apk | 5919 | 2024-Oct-25 20:54 |
py3-iterable-io-pyc-1.0.0-r0.apk | 5113 | 2024-Oct-25 20:54 |
py3-itunespy-1.6-r5.apk | 9709 | 2025-May-14 18:20 |
py3-itunespy-pyc-1.6-r5.apk | 14681 | 2025-May-14 18:20 |
py3-janus-1.2.0-r0.apk | 12387 | 2024-Dec-13 04:58 |
py3-janus-pyc-1.2.0-r0.apk | 13389 | 2024-Dec-13 04:58 |
py3-jaraco.logging-3.4.0-r0.apk | 5178 | 2025-Jun-17 22:20 |
py3-jaraco.logging-pyc-3.4.0-r0.apk | 5995 | 2025-Jun-17 22:20 |
py3-jaraco.path-3.7.2-r0.apk | 7537 | 2024-Oct-25 20:54 |
py3-jaraco.path-pyc-3.7.2-r0.apk | 9487 | 2024-Oct-25 20:54 |
py3-jaraco.stream-3.0.4-r0.apk | 6609 | 2024-Dec-14 22:52 |
py3-jaraco.stream-pyc-3.0.4-r0.apk | 8008 | 2024-Dec-14 22:52 |
py3-jaraco.vcs-2.4.1-r0.apk | 10168 | 2025-Mar-09 09:09 |
py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16071 | 2025-Mar-09 09:09 |
py3-jaraco.versioning-1.1.0-r0.apk | 5785 | 2024-Oct-25 20:54 |
py3-jaraco.versioning-pyc-1.1.0-r0.apk | 5985 | 2024-Oct-25 20:54 |
py3-joserfc-1.3.1-r0.apk | 56934 | 2025-Aug-30 21:16 |
py3-joserfc-pyc-1.3.1-r0.apk | 111745 | 2025-Aug-30 21:16 |
py3-junit-xml-1.9-r3.apk | 8276 | 2024-Oct-25 20:54 |
py3-junit-xml-pyc-1.9-r3.apk | 9275 | 2024-Oct-25 20:54 |
py3-kazoo-0_git20211202-r4.apk | 127761 | 2024-Oct-25 20:54 |
py3-kazoo-pyc-0_git20211202-r4.apk | 250107 | 2024-Oct-25 20:54 |
py3-keepalive-0.5-r5.apk | 8920 | 2024-Oct-25 20:54 |
py3-keepalive-doc-0.5-r5.apk | 1770 | 2024-Oct-25 20:54 |
py3-keepalive-pyc-0.5-r5.apk | 13094 | 2024-Oct-25 20:54 |
py3-kerberos-1.3.1-r5.apk | 17544 | 2024-Oct-25 20:54 |
py3-landlock-1.0.0_pre4-r2.apk | 8345 | 2024-Oct-25 20:54 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9486 | 2024-Oct-25 20:54 |
py3-langcodes-3.3.0-r2.apk | 177438 | 2024-Oct-25 20:54 |
py3-langcodes-pyc-3.3.0-r2.apk | 112099 | 2024-Oct-25 20:54 |
py3-language-data-1.3.0-r0.apk | 5191628 | 2024-Dec-01 20:08 |
py3-language-data-pyc-1.3.0-r0.apk | 3105140 | 2024-Dec-01 20:08 |
py3-latex2mathml-3.77.0-r1.apk | 73736 | 2024-Oct-25 20:54 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 35518 | 2024-Oct-25 20:54 |
py3-lib_users-0.15-r4.apk | 15727 | 2024-Oct-25 20:54 |
py3-lib_users-pyc-0.15-r4.apk | 9469 | 2024-Oct-25 20:54 |
py3-libacl-0.7.0-r2.apk | 26559 | 2024-Oct-25 20:54 |
py3-libguestfs-1.56.1-r0.apk | 191388 | 2025-Jul-22 22:10 |
py3-libiio-0.25-r2.apk | 12612 | 2024-Oct-25 20:54 |
py3-liblarch-3.2.0-r6.apk | 29957 | 2024-Dec-08 21:45 |
py3-liblarch-pyc-3.2.0-r6.apk | 50529 | 2024-Dec-08 21:45 |
py3-libnacl-2.1.0-r1.apk | 20536 | 2024-Oct-25 20:54 |
py3-libnacl-pyc-2.1.0-r1.apk | 30812 | 2024-Oct-25 20:54 |
py3-libpyshell-0.4.1-r1.apk | 11528 | 2025-Jun-10 09:39 |
py3-libpyshell-pyc-0.4.1-r1.apk | 18474 | 2025-Jun-10 09:39 |
py3-librtmp-0.3.0-r6.apk | 36347 | 2024-Oct-25 20:54 |
py3-librtmp-pyc-0.3.0-r6.apk | 24894 | 2024-Oct-25 20:54 |
py3-limits-3.14.1-r0.apk | 34035 | 2024-Dec-25 18:53 |
py3-limits-pyc-3.14.1-r0.apk | 72884 | 2024-Dec-25 18:53 |
py3-linkify-it-py-2.0.3-r1.apk | 21579 | 2024-Oct-25 20:54 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23721 | 2024-Oct-25 20:54 |
py3-linux-procfs-0.7.3-r0.apk | 13664 | 2025-Jan-13 21:19 |
py3-linux-procfs-pyc-0.7.3-r0.apk | 22395 | 2025-Jan-13 21:19 |
py3-litex-hub-modules-2024.04-r0.apk | 1396 | 2024-Oct-25 20:54 |
py3-litex-hub-modules-pyc-2024.04-r0.apk | 1160954 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-blackparrot-2024.04..> | 5823187 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0..> | 2023885 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0..> | 956286 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 511755 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10641755 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1890193 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 114531 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-marocchino-2024.04-..> | 212585 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r..> | 20401818 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0...> | 45634 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 226450 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0..> | 7474 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0..> | 235559 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 59549184 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 691149 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0..> | 729474 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.0..> | 2520951 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0...> | 59073 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-software-compiler_rt-20..> | 2308071 | 2024-Oct-25 20:54 |
py3-litex-hub-pythondata-software-picolibc-2024...> | 4913926 | 2024-Oct-25 20:54 |
py3-litex-hub-valentyusb-2024.04-r0.apk | 113931 | 2024-Oct-25 20:54 |
py3-livestream-2.1.0-r0.apk | 784364 | 2024-Nov-25 22:30 |
py3-livestream-pyc-2.1.0-r0.apk | 30342 | 2024-Nov-25 22:30 |
py3-log-symbols-0.0.14-r5.apk | 4041 | 2024-Oct-25 20:54 |
py3-log-symbols-pyc-0.0.14-r5.apk | 2876 | 2024-Oct-25 20:54 |
py3-logtop-0.7-r1.apk | 23362 | 2025-Aug-09 12:15 |
py3-logtop-pyc-0.7-r1.apk | 3911 | 2025-Aug-09 12:15 |
py3-lsp-black-2.0.0-r1.apk | 7417 | 2024-Oct-25 20:54 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6259 | 2024-Oct-25 20:54 |
py3-lsp-mypy-0.7.0-r1.apk | 12862 | 2025-Aug-30 01:40 |
py3-lsp-mypy-pyc-0.7.0-r1.apk | 12800 | 2025-Aug-30 01:40 |
py3-lsprotocol-2023.0.1-r1.apk | 70895 | 2024-Oct-25 20:54 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 109423 | 2024-Oct-25 20:54 |
py3-luhn-0.2.0-r9.apk | 3806 | 2024-Oct-25 20:54 |
py3-luhn-pyc-0.2.0-r9.apk | 2427 | 2024-Oct-25 20:54 |
py3-lunr-0.6.2-r4.apk | 33001 | 2024-Oct-25 20:54 |
py3-lunr-pyc-0.6.2-r4.apk | 51571 | 2024-Oct-25 20:54 |
py3-lxmf-0.7.1-r0.apk | 51714 | 2025-May-27 23:25 |
py3-lxmf-pyc-0.7.1-r0.apk | 111667 | 2025-May-27 23:25 |
py3-ly-0.9.9-r0.apk | 190810 | 2025-Jul-12 21:56 |
py3-ly-doc-0.9.9-r0.apk | 7992 | 2025-Jul-12 21:56 |
py3-ly-pyc-0.9.9-r0.apk | 360174 | 2025-Jul-12 21:56 |
py3-lzo-1.16-r1.apk | 17403 | 2024-Oct-25 20:54 |
py3-lzo-pyc-1.16-r1.apk | 1750 | 2024-Oct-25 20:54 |
py3-m2crypto-0.41.0-r2.apk | 199311 | 2024-Oct-25 20:54 |
py3-m2crypto-pyc-0.41.0-r2.apk | 125282 | 2024-Oct-25 20:54 |
py3-maidenhead-1.8.0-r0.apk | 7870 | 2025-Aug-10 21:05 |
py3-maidenhead-doc-1.8.0-r0.apk | 3509 | 2025-Aug-10 21:05 |
py3-maidenhead-pyc-1.8.0-r0.apk | 7879 | 2025-Aug-10 21:05 |
py3-mando-0.7.1-r3.apk | 22403 | 2024-Oct-25 20:54 |
py3-mando-doc-0.7.1-r3.apk | 4029 | 2024-Oct-25 20:54 |
py3-mando-pyc-0.7.1-r3.apk | 36563 | 2024-Oct-25 20:54 |
py3-manuel-1.13.0-r0.apk | 39787 | 2024-Nov-30 20:42 |
py3-manuel-pyc-1.13.0-r0.apk | 25963 | 2024-Nov-30 20:42 |
py3-mapbox-earcut-1.0.1-r2.apk | 65554 | 2024-Oct-25 20:54 |
py3-marisa-trie-1.3.1-r0.apk | 136638 | 2025-Aug-29 09:32 |
py3-markdown2-2.5.0-r0.apk | 48086 | 2024-Oct-25 20:54 |
py3-markdown2-pyc-2.5.0-r0.apk | 77034 | 2024-Oct-25 20:54 |
py3-markdownify-1.2.0-r0.apk | 16101 | 2025-Aug-11 12:36 |
py3-markdownify-pyc-1.2.0-r0.apk | 18432 | 2025-Aug-11 12:36 |
py3-marshmallow-3.26.1-r0.apk | 48423 | 2025-Feb-21 22:42 |
py3-marshmallow-enum-1.5.1-r7.apk | 5153 | 2024-Oct-25 20:54 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4293 | 2024-Oct-25 20:54 |
py3-marshmallow-pyc-3.26.1-r0.apk | 86671 | 2025-Feb-21 22:42 |
py3-mbedtls-2.10.1-r3.apk | 969401 | 2025-May-29 12:09 |
py3-mbedtls-pyc-2.10.1-r3.apk | 27386 | 2025-May-29 12:09 |
py3-migen-0.9.2-r2.apk | 145771 | 2024-Oct-25 20:54 |
py3-migen-pyc-0.9.2-r2.apk | 302477 | 2024-Oct-25 20:54 |
py3-milc-1.9.1-r0.apk | 26352 | 2025-Jan-25 16:05 |
py3-milc-pyc-1.9.1-r0.apk | 42229 | 2025-Jan-25 16:05 |
py3-minidb-2.0.8-r0.apk | 10028 | 2024-Nov-13 18:52 |
py3-minidb-pyc-2.0.8-r0.apk | 23721 | 2024-Nov-13 18:52 |
py3-minidump-0.0.24-r1.apk | 64786 | 2025-May-29 12:09 |
py3-minidump-pyc-0.0.24-r1.apk | 131933 | 2025-May-29 12:09 |
py3-minikerberos-0.4.7-r0.apk | 139015 | 2025-Jul-28 21:24 |
py3-minikerberos-pyc-0.4.7-r0.apk | 288469 | 2025-Jul-28 21:24 |
py3-minio-7.2.13-r0.apk | 77960 | 2024-Dec-25 18:53 |
py3-minio-pyc-7.2.13-r0.apk | 163974 | 2024-Dec-25 18:53 |
py3-mistletoe-1.4.0-r0.apk | 45367 | 2025-Mar-19 13:45 |
py3-mistletoe-pyc-1.4.0-r0.apk | 94967 | 2025-Mar-19 13:45 |
py3-mnemonic-0.21-r0.apk | 97060 | 2024-Oct-25 20:54 |
py3-mnemonic-doc-0.21-r0.apk | 2191 | 2024-Oct-25 20:54 |
py3-mnemonic-pyc-0.21-r0.apk | 9655 | 2024-Oct-25 20:54 |
py3-modbus-tk-1.1.1-r4.apk | 25096 | 2024-Oct-25 20:54 |
py3-modbus-tk-pyc-1.1.1-r4.apk | 49385 | 2024-Oct-25 20:54 |
py3-modern_colorthief-0.1.7-r0.apk | 826741 | 2025-Jun-16 18:04 |
py3-modern_colorthief-pyc-0.1.7-r0.apk | 2399 | 2025-Jun-16 18:04 |
py3-mopidy-jellyfin-1.0.4-r4.apk | 24864 | 2024-Oct-25 20:54 |
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 37261 | 2024-Oct-25 20:54 |
py3-mopidy-local-3.3.0-r0.apk | 28170 | 2025-Jan-01 22:19 |
py3-mopidy-local-pyc-3.3.0-r0.apk | 34648 | 2025-Jan-01 22:19 |
py3-mopidy-mpd-3.3.0-r4.apk | 46853 | 2024-Oct-25 20:54 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 75324 | 2024-Oct-25 20:54 |
py3-mopidy-tidal-0.3.2-r6.apk | 25079 | 2024-Oct-25 20:54 |
py3-mopidy-tidal-pyc-0.3.2-r6.apk | 36223 | 2024-Oct-25 20:54 |
py3-more-properties-1.1.1-r3.apk | 7349 | 2024-Oct-25 20:54 |
py3-more-properties-pyc-1.1.1-r3.apk | 8155 | 2024-Oct-25 20:54 |
py3-msldap-0.5.15-r1.apk | 146119 | 2025-May-29 12:09 |
py3-msldap-pyc-0.5.15-r1.apk | 337486 | 2025-May-29 12:09 |
py3-mss-10.0.0-r0.apk | 51540 | 2024-Nov-14 12:57 |
py3-natpmp-1.3.2-r1.apk | 9372 | 2024-Oct-25 20:54 |
py3-natpmp-pyc-1.3.2-r1.apk | 9832 | 2024-Oct-25 20:54 |
py3-ncclient-0.6.13-r5.apk | 69586 | 2024-Oct-25 20:54 |
py3-ncclient-pyc-0.6.13-r5.apk | 108813 | 2024-Oct-25 20:54 |
py3-netifaces2-0.0.22-r0.apk | 213544 | 2024-Oct-25 20:54 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9165 | 2024-Oct-25 20:54 |
py3-netmiko-4.6.0-r0.apk | 196265 | 2025-Aug-13 15:32 |
py3-netmiko-pyc-4.6.0-r0.apk | 380917 | 2025-Aug-13 15:32 |
py3-nikola-8.3.1-r0.apk | 1220143 | 2024-Oct-25 20:54 |
py3-nikola-doc-8.3.1-r0.apk | 61856 | 2024-Oct-25 20:54 |
py3-nikola-pyc-8.3.1-r0.apk | 542347 | 2024-Oct-25 20:54 |
py3-nmap-0.7.1-r4.apk | 20573 | 2024-Oct-25 20:54 |
py3-nmap-pyc-0.7.1-r4.apk | 25829 | 2024-Oct-25 20:54 |
py3-nose-timer-1.0.1-r6.apk | 9331 | 2024-Oct-25 20:54 |
py3-nose-timer-pyc-1.0.1-r6.apk | 9884 | 2024-Oct-25 20:54 |
py3-notifymail-1.1-r8.apk | 7492 | 2024-Oct-25 20:54 |
py3-notifymail-pyc-1.1-r8.apk | 5613 | 2024-Oct-25 20:54 |
py3-nptyping-2.5.0-r3.apk | 21588 | 2024-Oct-25 20:54 |
py3-nptyping-pyc-2.5.0-r3.apk | 32534 | 2024-Oct-25 20:54 |
py3-ntplib-0.4.0-r5.apk | 7308 | 2024-Oct-25 20:54 |
py3-ntplib-pyc-0.4.0-r5.apk | 8568 | 2024-Oct-25 20:54 |
py3-numpy-stl-3.2.0-r0.apk | 21247 | 2024-Dec-01 02:05 |
py3-numpy-stl-pyc-3.2.0-r0.apk | 28277 | 2024-Dec-01 02:05 |
py3-nwdiag-3.0.0-r3.apk | 5155241 | 2024-Oct-25 20:54 |
py3-nwdiag-pyc-3.0.0-r3.apk | 79480 | 2024-Oct-25 20:54 |
py3-okonomiyaki-2.0.0-r0.apk | 8270349 | 2024-Oct-25 20:54 |
py3-okonomiyaki-pyc-2.0.0-r0.apk | 248639 | 2024-Oct-25 20:54 |
py3-onnxruntime-1.22.2-r0.apk | 6920126 | 2025-Aug-29 10:12 |
py3-onnxruntime-pyc-1.22.2-r0.apk | 1392740 | 2025-Aug-29 10:12 |
py3-openapi-codec-1.3.2-r9.apk | 7568 | 2024-Oct-25 20:54 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11752 | 2024-Oct-25 20:54 |
py3-opendht-3.1.11-r0.apk | 151309 | 2025-Jan-27 20:57 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8180 | 2024-Oct-25 20:54 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10412 | 2024-Oct-25 20:54 |
py3-openwisp-utils-1.0.4-r4.apk | 509317 | 2024-Oct-25 20:54 |
py3-openwisp-utils-pyc-1.0.4-r4.apk | 43013 | 2024-Oct-25 20:54 |
py3-orderedmultidict-1.0.1-r7.apk | 11888 | 2024-Oct-25 20:54 |
py3-orderedmultidict-pyc-1.0.1-r7.apk | 17349 | 2024-Oct-25 20:54 |
py3-osqp-0.6.2-r6.apk | 117655 | 2024-Oct-25 20:54 |
py3-osqp-dev-0.6.2-r6.apk | 49954 | 2024-Oct-25 20:54 |
py3-osqp-pyc-0.6.2-r6.apk | 78085 | 2024-Oct-25 20:54 |
py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 9941 | 2024-Oct-25 20:54 |
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8152 | 2024-Oct-25 20:54 |
py3-ovos-backend-client-1.0.0-r0.apk | 46752 | 2024-Oct-25 20:54 |
py3-ovos-backend-client-pyc-1.0.0-r0.apk | 92971 | 2024-Oct-25 20:54 |
py3-ovos-bus-client-1.3.4-r0.apk | 50892 | 2025-Apr-25 05:33 |
py3-ovos-bus-client-pyc-1.3.4-r0.apk | 90877 | 2025-Apr-25 05:33 |
py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 105181 | 2024-Oct-25 20:54 |
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 167183 | 2024-Oct-25 20:54 |
py3-ovos-config-2.1.1-r0.apk | 48087 | 2025-Jul-15 18:37 |
py3-ovos-config-pyc-2.1.1-r0.apk | 35366 | 2025-Jul-15 18:37 |
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 366098 | 2024-Oct-25 20:54 |
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 446914 | 2024-Oct-25 20:54 |
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 8818 | 2025-Jul-15 20:05 |
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4610 | 2025-Jul-15 20:05 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 563166 | 2024-Oct-25 20:54 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 87841 | 2024-Oct-25 20:54 |
py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 47828 | 2024-Oct-25 20:54 |
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 105789 | 2024-Oct-25 20:54 |
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8003 | 2024-Oct-25 20:54 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3216 | 2024-Oct-25 20:54 |
py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 11092 | 2025-Jul-15 20:05 |
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 8830 | 2025-Jul-15 20:05 |
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 8097 | 2025-Jul-15 20:05 |
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3718 | 2025-Jul-15 20:05 |
py3-ovos-phal-plugin-connectivity-events-0.1.2-r..> | 8753 | 2025-Jul-15 20:05 |
py3-ovos-phal-plugin-connectivity-events-pyc-0.1..> | 4928 | 2025-Jul-15 20:05 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4368 | 2024-Oct-25 20:54 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4211 | 2024-Oct-25 20:54 |
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 97124 | 2024-Nov-21 13:34 |
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r..> | 11226 | 2024-Nov-21 13:34 |
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 11939 | 2024-Nov-21 13:34 |
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9627 | 2024-Nov-21 13:34 |
py3-ovos-phal-plugin-system-1.3.3-r0.apk | 10946 | 2025-Jul-15 17:10 |
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 10032 | 2025-Jul-15 17:10 |
py3-ovos-plugin-manager-1.0.3-r0.apk | 88268 | 2025-Jul-15 19:35 |
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 170576 | 2025-Jul-15 19:35 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10060 | 2024-Oct-25 20:54 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6665 | 2024-Oct-25 20:54 |
py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8268 | 2024-Oct-25 20:54 |
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 3940 | 2024-Oct-25 20:54 |
py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12264 | 2024-Oct-25 20:54 |
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11414 | 2024-Oct-25 20:54 |
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9476 | 2024-Oct-25 20:54 |
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1...> | 5309 | 2024-Oct-25 20:54 |
py3-ovos-utils-0.8.1-r0.apk | 74047 | 2025-Jul-15 20:05 |
py3-ovos-utils-pyc-0.8.1-r0.apk | 133166 | 2025-Jul-15 20:05 |
py3-ovos-workshop-7.0.6-r0.apk | 94134 | 2025-Jul-15 19:31 |
py3-ovos-workshop-pyc-7.0.6-r0.apk | 169179 | 2025-Jul-15 19:31 |
py3-owslib-0.34.1-r0.apk | 199354 | 2025-Jul-13 19:34 |
py3-owslib-pyc-0.34.1-r0.apk | 434476 | 2025-Jul-13 19:34 |
py3-pacparser-1.4.5-r1.apk | 405015 | 2024-Oct-25 20:54 |
py3-pacparser-pyc-1.4.5-r1.apk | 3867 | 2024-Oct-25 20:54 |
py3-padacioso-0.2.1-r0.apk | 11454 | 2024-Oct-25 20:54 |
py3-padacioso-pyc-0.2.1-r0.apk | 12306 | 2024-Oct-25 20:54 |
py3-pam-2.0.2-r2.apk | 11190 | 2024-Oct-25 20:54 |
py3-pam-pyc-2.0.2-r2.apk | 13012 | 2024-Oct-25 20:54 |
py3-pathvalidate-3.3.1-r0.apk | 19282 | 2025-Jul-12 15:21 |
py3-pathvalidate-pyc-3.3.1-r0.apk | 34133 | 2025-Jul-12 15:21 |
py3-pbkdf2-1.3-r7.apk | 6180 | 2024-Oct-25 20:54 |
py3-pbkdf2-pyc-1.3-r7.apk | 6982 | 2024-Oct-25 20:54 |
py3-pcbnewtransition-0.5.2-r0.apk | 7802 | 2025-Jul-12 15:21 |
py3-pcbnewtransition-pyc-0.5.2-r0.apk | 9822 | 2025-Jul-12 15:21 |
py3-pdal-3.4.5-r1.apk | 186187 | 2025-Jun-21 21:30 |
py3-pdal-pyc-3.4.5-r1.apk | 13142 | 2025-Jun-21 21:30 |
py3-pelican-4.9.1-r2.apk | 239063 | 2024-Oct-25 20:54 |
py3-pelican-pyc-4.9.1-r2.apk | 150569 | 2024-Oct-25 20:54 |
py3-pep8-naming-0.14.1-r0.apk | 9738 | 2024-Oct-25 20:54 |
py3-pep8-naming-pyc-0.14.1-r0.apk | 13244 | 2024-Oct-25 20:54 |
py3-phpserialize-1.3-r8.apk | 8797 | 2024-Oct-25 20:54 |
py3-phpserialize-pyc-1.3-r8.apk | 10687 | 2024-Oct-25 20:54 |
py3-phx-class-registry-5.0.0-r0.apk | 13193 | 2024-Oct-25 20:54 |
py3-phx-class-registry-doc-5.0.0-r0.apk | 2010 | 2024-Oct-25 20:54 |
py3-phx-class-registry-pyc-5.0.0-r0.apk | 17562 | 2024-Oct-25 20:54 |
py3-piccata-2.0.3-r1.apk | 20299 | 2024-Oct-25 20:54 |
py3-piccata-pyc-2.0.3-r1.apk | 34778 | 2024-Oct-25 20:54 |
py3-pickle-secure-0.99.9-r1.apk | 7415 | 2024-Oct-25 20:54 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5221 | 2024-Oct-25 20:54 |
py3-pika-1.3.2-r1.apk | 146271 | 2024-Oct-25 20:54 |
py3-pika-pyc-1.3.2-r1.apk | 251520 | 2024-Oct-25 20:54 |
py3-pillow_heif-0.18.0-r0.apk | 46356 | 2024-Oct-25 20:54 |
py3-pillow_heif-pyc-0.18.0-r0.apk | 36121 | 2024-Oct-25 20:54 |
py3-pip-system-certs-4.0-r1.apk | 6890 | 2024-Oct-25 20:54 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4502 | 2024-Oct-25 20:54 |
py3-piper-phonemize-2023.11.14.4-r9.apk | 147958 | 2025-Jul-12 16:34 |
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3162 | 2025-Jul-12 16:34 |
py3-piper-tts-2023.11.14.2-r14.apk | 41613 | 2025-Jul-12 16:34 |
py3-playsound-1.3.0-r1.apk | 6763 | 2024-Oct-25 20:54 |
py3-playsound-pyc-1.3.0-r1.apk | 8482 | 2024-Oct-25 20:54 |
py3-plotly-5.24.1-r2.apk | 21484818 | 2025-Apr-18 22:26 |
py3-pltable-1.1.0-r1.apk | 18758 | 2024-Nov-13 06:38 |
py3-pltable-pyc-1.1.0-r1.apk | 34014 | 2024-Nov-13 06:38 |
py3-pockethernet-0.7.0-r4.apk | 14873 | 2024-Oct-25 20:54 |
py3-pockethernet-pyc-0.7.0-r4.apk | 25544 | 2024-Oct-25 20:54 |
py3-poetry-dynamic-versioning-1.9.1-r0.apk | 20824 | 2025-Jul-25 23:42 |
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 26755 | 2025-Jul-25 23:42 |
py3-poppler-qt5-21.3.0-r2.apk | 130796 | 2025-Jan-29 19:22 |
py3-ppk2-api-0.9.2-r0.apk | 16611 | 2024-Nov-30 20:52 |
py3-ppk2-api-pyc-0.9.2-r0.apk | 16644 | 2024-Nov-30 20:52 |
py3-pprintpp-0.4.0-r1.apk | 13977 | 2024-Oct-25 20:54 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16402 | 2024-Oct-25 20:54 |
py3-prefixed-0.9.0-r0.apk | 14433 | 2025-Aug-28 09:32 |
py3-prefixed-pyc-0.9.0-r0.apk | 8545 | 2025-Aug-28 09:32 |
py3-print-color-0.4.6-r0.apk | 8808 | 2024-Oct-25 20:54 |
py3-print-color-doc-0.4.6-r0.apk | 2415 | 2024-Oct-25 20:54 |
py3-print-color-pyc-0.4.6-r0.apk | 4821 | 2024-Oct-25 20:54 |
py3-priority-2.0.0-r0.apk | 9838 | 2025-Jul-23 06:27 |
py3-priority-pyc-2.0.0-r0.apk | 9288 | 2025-Jul-23 06:27 |
py3-proglog-0.1.10-r2.apk | 7293 | 2024-Oct-25 20:54 |
py3-proglog-pyc-0.1.10-r2.apk | 9890 | 2024-Oct-25 20:54 |
py3-protego-0.3.1-r0.apk | 9296 | 2024-Nov-30 20:42 |
py3-protego-pyc-0.3.1-r0.apk | 11569 | 2024-Nov-30 20:42 |
py3-proxmoxer-2.2.0-r0.apk | 16999 | 2024-Dec-16 11:36 |
py3-proxmoxer-pyc-2.2.0-r0.apk | 28133 | 2024-Dec-16 11:36 |
py3-pure_protobuf-3.1.2-r0.apk | 21362 | 2024-Oct-25 20:54 |
py3-pure_protobuf-pyc-3.1.2-r0.apk | 38318 | 2024-Oct-25 20:54 |
py3-py-radix-0.10.0-r10.apk | 20648 | 2024-Oct-25 20:54 |
py3-py-radix-pyc-0.10.0-r10.apk | 10612 | 2024-Oct-25 20:54 |
py3-pyatem-0.5.0-r4.apk | 54045 | 2024-Oct-25 20:54 |
py3-pyatem-pyc-0.5.0-r4.apk | 93552 | 2024-Oct-25 20:54 |
py3-pyautogui-0.9.53-r5.apk | 35642 | 2024-Oct-25 20:54 |
py3-pyautogui-pyc-0.9.53-r5.apk | 46092 | 2024-Oct-25 20:54 |
py3-pybars3-0.9.7-r6.apk | 14936 | 2024-Oct-25 20:54 |
py3-pybars3-pyc-0.9.7-r6.apk | 16976 | 2024-Oct-25 20:54 |
py3-pycaption-2.2.15-r0.apk | 368449 | 2024-Oct-25 20:54 |
py3-pycolorterm-0.2.1-r6.apk | 5323 | 2024-Oct-25 20:54 |
py3-pycolorterm-pyc-0.2.1-r6.apk | 3550 | 2024-Oct-25 20:54 |
py3-pycosat-0.6.6-r2.apk | 49589 | 2024-Oct-25 20:54 |
py3-pydes-2.0.1-r5.apk | 10670 | 2024-Oct-25 20:54 |
py3-pydes-doc-2.0.1-r5.apk | 3463 | 2024-Oct-25 20:54 |
py3-pydes-pyc-2.0.1-r5.apk | 13221 | 2024-Oct-25 20:54 |
py3-pygelbooru-1.0.0-r0.apk | 20665 | 2025-Jul-30 04:28 |
py3-pygelbooru-pyc-1.0.0-r0.apk | 11511 | 2025-Jul-30 04:28 |
py3-pygfm-2.0.0-r2.apk | 12770 | 2024-Oct-25 20:54 |
py3-pygfm-pyc-2.0.0-r2.apk | 13254 | 2024-Oct-25 20:54 |
py3-pyglet-2.1.5-r1.apk | 911005 | 2025-Aug-27 17:13 |
py3-pyglet-pyc-2.1.5-r1.apk | 1699733 | 2025-Aug-27 17:13 |
py3-pyglm-2.7.3-r0.apk | 1257974 | 2024-Nov-06 09:43 |
py3-pygpgme-0.3.1-r9.apk | 38765 | 2024-Oct-25 20:54 |
py3-pygpgme-pyc-0.3.1-r9.apk | 4922 | 2024-Oct-25 20:54 |
py3-pygtail-0.14.0-r3.apk | 15080 | 2024-Oct-25 20:54 |
py3-pygtail-pyc-0.14.0-r3.apk | 10070 | 2024-Oct-25 20:54 |
py3-pyinstaller-6.6.0-r0.apk | 1985442 | 2024-Oct-25 20:54 |
py3-pyinstaller-pyc-6.6.0-r0.apk | 514039 | 2024-Oct-25 20:54 |
py3-pyinstrument-5.1.1-r0.apk | 112361 | 2025-Aug-13 05:20 |
py3-pyinstrument-pyc-5.1.1-r0.apk | 102647 | 2025-Aug-13 05:20 |
py3-pyisbn-1.3.1-r3.apk | 20671 | 2024-Oct-25 20:54 |
py3-pyisbn-pyc-1.3.1-r3.apk | 9368 | 2024-Oct-25 20:54 |
py3-pylru-1.2.1-r1.apk | 16701 | 2024-Oct-25 20:54 |
py3-pylru-pyc-1.2.1-r1.apk | 8896 | 2024-Oct-25 20:54 |
py3-pymaging-0.0.20130908-r10.apk | 18151 | 2024-Oct-25 20:54 |
py3-pymaging-png-0.0.20130727-r10.apk | 35591 | 2024-Oct-25 20:54 |
py3-pymaging-png-pyc-0.0.20130727-r10.apk | 52903 | 2024-Oct-25 20:54 |
py3-pymaging-pyc-0.0.20130908-r10.apk | 32167 | 2024-Oct-25 20:54 |
py3-pymata-2.20-r4.apk | 22773 | 2024-Oct-25 20:54 |
py3-pymata-pyc-2.20-r4.apk | 29835 | 2024-Oct-25 20:54 |
py3-pymata4-1.15-r4.apk | 23335 | 2024-Oct-25 20:54 |
py3-pymata4-pyc-1.15-r4.apk | 31298 | 2024-Oct-25 20:54 |
py3-pymeta3-0.5.1-r6.apk | 16880 | 2024-Oct-25 20:54 |
py3-pymeta3-pyc-0.5.1-r6.apk | 32038 | 2024-Oct-25 20:54 |
py3-pymsgbox-1.0.9-r5.apk | 9114 | 2024-Oct-25 20:54 |
py3-pymsgbox-pyc-1.0.9-r5.apk | 9814 | 2024-Oct-25 20:54 |
py3-pymsteams-0.2.5-r0.apk | 12382 | 2025-Feb-18 22:22 |
py3-pymsteams-pyc-0.2.5-r0.apk | 6430 | 2025-Feb-18 22:22 |
py3-pynest2d-5.2.2-r5.apk | 280241 | 2025-Feb-06 04:46 |
py3-pyparted-3.13.0-r1.apk | 79617 | 2024-Oct-25 20:54 |
py3-pyparted-pyc-3.13.0-r1.apk | 42915 | 2024-Oct-25 20:54 |
py3-pypubsub-4.0.3-r0.apk | 53379 | 2024-Oct-25 20:54 |
py3-pypubsub-doc-4.0.3-r0.apk | 1926 | 2024-Oct-25 20:54 |
py3-pypubsub-pyc-4.0.3-r0.apk | 91805 | 2024-Oct-25 20:54 |
py3-pyqrcode-1.2.1-r0.apk | 37168 | 2024-Oct-25 20:54 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4139 | 2024-Oct-25 20:54 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 48140 | 2024-Oct-25 20:54 |
py3-pyrebase-3.0.27-r5.apk | 9588 | 2024-Oct-25 20:54 |
py3-pyrebase-pyc-3.0.27-r5.apk | 17712 | 2024-Oct-25 20:54 |
py3-pyroma-4.2-r0.apk | 22132 | 2024-Oct-25 20:54 |
py3-pyroma-pyc-4.2-r0.apk | 26309 | 2024-Oct-25 20:54 |
py3-pyscreeze-0.1.29-r3.apk | 14178 | 2024-Oct-25 20:54 |
py3-pyscreeze-pyc-0.1.29-r3.apk | 14260 | 2024-Oct-25 20:54 |
py3-pysimplesoap-1.16.2-r7.apk | 44448 | 2024-Oct-25 20:54 |
py3-pysimplesoap-pyc-1.16.2-r7.apk | 79781 | 2024-Oct-25 20:54 |
py3-pysonic-1.0.3-r0.apk | 35328 | 2025-Apr-16 22:48 |
py3-pysonic-pyc-1.0.3-r0.apk | 32741 | 2025-Apr-16 22:48 |
py3-pyspinel-1.0.3-r1.apk | 57094 | 2024-Oct-25 20:54 |
py3-pyspinel-pyc-1.0.3-r1.apk | 64288 | 2024-Oct-25 20:54 |
py3-pysrt-1.1.2-r5.apk | 25825 | 2025-May-14 18:20 |
py3-pysrt-pyc-1.1.2-r5.apk | 23026 | 2025-May-14 18:20 |
py3-pystache-0.6.5-r1.apk | 69474 | 2024-Oct-25 20:54 |
py3-pystache-pyc-0.6.5-r1.apk | 98721 | 2024-Oct-25 20:54 |
py3-pysubs2-1.8.0-r0.apk | 36522 | 2024-Dec-25 22:10 |
py3-pysubs2-pyc-1.8.0-r0.apk | 69071 | 2024-Dec-25 22:10 |
py3-pytaglib-3.0.0-r0.apk | 41405 | 2025-Jan-26 19:55 |
py3-pytaglib-pyc-3.0.0-r0.apk | 2673 | 2025-Jan-26 19:55 |
py3-pytap2-2.3.0-r0.apk | 6874 | 2024-Oct-25 20:54 |
py3-pytap2-doc-2.3.0-r0.apk | 2596 | 2024-Oct-25 20:54 |
py3-pytap2-pyc-2.3.0-r0.apk | 5865 | 2024-Oct-25 20:54 |
py3-pyte-0.8.2-r3.apk | 30355 | 2025-May-14 17:30 |
py3-pyte-pyc-0.8.2-r3.apk | 39857 | 2025-May-14 17:30 |
py3-pytest-datadir-1.8.0-r0.apk | 6987 | 2025-Aug-05 12:49 |
py3-pytest-datadir-pyc-1.8.0-r0.apk | 5258 | 2025-Aug-05 12:49 |
py3-pytest-expect-1.1.0-r10.apk | 5771 | 2024-Oct-25 20:54 |
py3-pytest-expect-pyc-1.1.0-r10.apk | 6858 | 2024-Oct-25 20:54 |
py3-pytest-home-0.6.0-r0.apk | 4378 | 2024-Oct-25 20:54 |
py3-pytest-home-pyc-0.6.0-r0.apk | 2600 | 2024-Oct-25 20:54 |
py3-pytest-html-4.1.1-r1.apk | 21878 | 2024-Oct-25 20:54 |
py3-pytest-html-pyc-4.1.1-r1.apk | 22008 | 2024-Oct-25 20:54 |
py3-pytest-metadata-3.1.1-r0.apk | 10174 | 2024-Oct-25 20:54 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7820 | 2024-Oct-25 20:54 |
py3-pytest-regtest-2.3.2-r1.apk | 15933 | 2025-Feb-18 22:22 |
py3-pytest-regtest-pyc-2.3.2-r1.apk | 30505 | 2025-Feb-18 22:22 |
py3-pytest-subprocess-1.5.2-r0.apk | 19710 | 2024-Oct-25 20:54 |
py3-pytest-subprocess-pyc-1.5.2-r0.apk | 24835 | 2024-Oct-25 20:54 |
py3-pytest-textual-snapshot-1.1.0-r0.apk | 9133 | 2025-Aug-08 16:55 |
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10505 | 2025-Aug-08 16:55 |
py3-python-archive-0.2-r7.apk | 7309 | 2024-Oct-25 20:54 |
py3-python-archive-pyc-0.2-r7.apk | 9308 | 2024-Oct-25 20:54 |
py3-python-iptables-1.0.1-r1.apk | 40043 | 2024-Oct-25 20:54 |
py3-python-iptables-pyc-1.0.1-r1.apk | 69269 | 2024-Oct-25 20:54 |
py3-python-jwt-4.1.0-r2.apk | 7996 | 2025-May-15 21:23 |
py3-python-jwt-pyc-4.1.0-r2.apk | 6359 | 2025-May-15 21:23 |
py3-python-logstash-0.4.8-r4.apk | 8544 | 2024-Oct-25 20:54 |
py3-python-logstash-doc-0.4.8-r4.apk | 2048 | 2024-Oct-25 20:54 |
py3-python-logstash-pyc-0.4.8-r4.apk | 8351 | 2024-Oct-25 20:54 |
py3-python-stdnum-1.20-r0.apk | 824785 | 2024-Oct-25 20:54 |
py3-python-stdnum-pyc-1.20-r0.apk | 300277 | 2024-Oct-25 20:54 |
py3-pyvcd-0.4.1-r0.apk | 22947 | 2024-Nov-11 21:56 |
py3-pyvcd-pyc-0.4.1-r0.apk | 41158 | 2024-Nov-11 21:56 |
py3-pyzor-1.1.2-r0.apk | 41028 | 2025-Aug-14 06:27 |
py3-pyzor-pyc-1.1.2-r0.apk | 55006 | 2025-Aug-14 06:27 |
py3-qasync-0.19.0-r2.apk | 37484 | 2024-Oct-25 20:54 |
py3-qdldl-0.1.5-r4.apk | 104287 | 2024-Oct-25 20:54 |
py3-qpageview-0.6.2-r1.apk | 100135 | 2024-Oct-25 20:54 |
py3-qpageview-doc-0.6.2-r1.apk | 57373 | 2024-Oct-25 20:54 |
py3-qpageview-pyc-0.6.2-r1.apk | 184286 | 2024-Oct-25 20:54 |
py3-qt.py-1.3.10-r1.apk | 33207 | 2024-Oct-25 20:54 |
py3-qt.py-pyc-1.3.10-r1.apk | 25014 | 2024-Oct-25 20:54 |
py3-quart-0.20.0-r0.apk | 69788 | 2025-Jul-23 06:27 |
py3-quart-pyc-0.20.0-r0.apk | 150279 | 2025-Jul-23 06:27 |
py3-quebra-frases-0.3.7-r1.apk | 8760 | 2024-Oct-25 20:54 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7738 | 2024-Oct-25 20:54 |
py3-queuelib-1.8.0-r0.apk | 12440 | 2025-Sep-01 18:14 |
py3-queuelib-pyc-1.8.0-r0.apk | 24807 | 2025-Sep-01 18:14 |
py3-rabbit-1.1.0-r8.apk | 10936 | 2024-Oct-25 20:54 |
py3-rabbit-pyc-1.1.0-r8.apk | 15592 | 2024-Oct-25 20:54 |
py3-radon-6.0.1-r2.apk | 32010 | 2024-Oct-25 20:54 |
py3-radon-doc-6.0.1-r2.apk | 4997 | 2024-Oct-25 20:54 |
py3-radon-pyc-6.0.1-r2.apk | 50490 | 2024-Oct-25 20:54 |
py3-recommonmark-0.7.1-r4.apk | 11758 | 2024-Oct-25 20:54 |
py3-recommonmark-pyc-0.7.1-r4.apk | 17660 | 2024-Oct-25 20:54 |
py3-recurring-ical-events-3.8.0-r0.apk | 39550 | 2025-Jun-15 03:44 |
py3-recurring-ical-events-pyc-3.8.0-r0.apk | 50812 | 2025-Jun-15 03:44 |
py3-redmine-2.5.0-r0.apk | 37401 | 2024-Oct-25 20:54 |
py3-redmine-pyc-2.5.0-r0.apk | 54611 | 2024-Oct-25 20:54 |
py3-remind-0.19.2-r0.apk | 24754 | 2025-Apr-22 17:46 |
py3-remind-pyc-0.19.2-r0.apk | 22797 | 2025-Apr-22 17:46 |
py3-requests-cache-1.2.1-r1.apk | 50864 | 2024-Nov-18 08:47 |
py3-requests-cache-pyc-1.2.1-r1.apk | 96203 | 2024-Nov-18 08:47 |
py3-requests-kerberos-0.15.0-r0.apk | 12123 | 2024-Dec-01 17:25 |
py3-requests-kerberos-pyc-0.15.0-r0.apk | 10921 | 2024-Dec-01 17:25 |
py3-requests-pkcs12-1.25-r0.apk | 6511 | 2025-Aug-26 09:30 |
py3-requests-pkcs12-pyc-1.25-r0.apk | 8087 | 2025-Aug-26 09:30 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5380 | 2024-Oct-25 20:54 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6490 | 2024-Oct-25 20:54 |
py3-rfc-bibtex-0.3.2-r7.apk | 12908 | 2024-Oct-25 20:54 |
py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12474 | 2024-Oct-25 20:54 |
py3-rich-click-1.7.3-r1.apk | 31205 | 2024-Oct-25 20:54 |
py3-rich-click-pyc-1.7.3-r1.apk | 41038 | 2024-Oct-25 20:54 |
py3-riotctrl-0.5.0-r4.apk | 13086 | 2024-Oct-25 20:54 |
py3-riotctrl-pyc-0.5.0-r4.apk | 10702 | 2024-Oct-25 20:54 |
py3-rns-0.9.6-r0.apk | 352078 | 2025-May-27 23:25 |
py3-rns-pyc-0.9.6-r0.apk | 720686 | 2025-May-27 23:25 |
py3-rofi-1.0.1-r1.apk | 12189 | 2025-Aug-09 12:15 |
py3-rofi-pyc-1.0.1-r1.apk | 11767 | 2025-Aug-09 12:15 |
py3-rosdistro-0.9.0-r3.apk | 47931 | 2024-Oct-25 20:54 |
py3-rosdistro-pyc-0.9.0-r3.apk | 93185 | 2024-Oct-25 20:54 |
py3-rospkg-1.2.9-r5.apk | 29227 | 2024-Oct-25 20:54 |
py3-rospkg-pyc-1.2.9-r5.apk | 55157 | 2024-Oct-25 20:54 |
py3-rpio-0.10.1-r8.apk | 40072 | 2024-Oct-25 20:54 |
py3-rpio-pyc-0.10.1-r8.apk | 16067 | 2024-Oct-25 20:54 |
py3-rst-0.1-r9.apk | 5432 | 2024-Oct-25 20:54 |
py3-rst-pyc-0.1-r9.apk | 5989 | 2024-Oct-25 20:54 |
py3-rst.linker-2.6.0-r0.apk | 5975 | 2024-Oct-25 20:54 |
py3-rst.linker-pyc-2.6.0-r0.apk | 6539 | 2024-Oct-25 20:54 |
py3-rst2ansi-0.1.5-r0.apk | 12186 | 2024-Oct-25 20:54 |
py3-rst2ansi-doc-0.1.5-r0.apk | 2027 | 2024-Oct-25 20:54 |
py3-rst2ansi-pyc-0.1.5-r0.apk | 24281 | 2024-Oct-25 20:54 |
py3-rtree-1.4.1-r0.apk | 26815 | 2025-Aug-14 10:21 |
py3-rtree-pyc-1.4.1-r0.apk | 48996 | 2025-Aug-14 10:21 |
py3-schema-0.7.7-r0.apk | 19625 | 2025-Jul-07 16:16 |
py3-schema-pyc-0.7.7-r0.apk | 20749 | 2025-Jul-07 16:16 |
py3-scour-0.38.2-r1.apk | 57475 | 2024-Oct-25 20:54 |
py3-scour-pyc-0.38.2-r1.apk | 75276 | 2024-Oct-25 20:54 |
py3-scrapy-2.11.1-r1.apk | 245475 | 2024-Oct-25 20:54 |
py3-scrapy-pyc-2.11.1-r1.apk | 493319 | 2024-Oct-25 20:54 |
py3-scs-3.2.3-r4.apk | 121162 | 2024-Oct-25 20:54 |
py3-scs-pyc-3.2.3-r4.apk | 4777 | 2024-Oct-25 20:54 |
py3-senf-1.5.0-r0.apk | 20406 | 2025-Jun-27 02:59 |
py3-senf-pyc-1.5.0-r0.apk | 33010 | 2025-Jun-27 02:59 |
py3-seqdiag-3.0.0-r5.apk | 2581539 | 2024-Oct-25 20:54 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42982 | 2024-Oct-25 20:54 |
py3-setuptools-lint-0.6.0-r9.apk | 5289 | 2024-Oct-25 20:54 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 5884 | 2024-Oct-25 20:54 |
py3-sh-2.1.0-r0.apk | 38401 | 2024-Nov-01 15:14 |
py3-sh-pyc-2.1.0-r0.apk | 55907 | 2024-Nov-01 15:14 |
py3-shodan-1.31.0-r1.apk | 44887 | 2024-Oct-25 20:54 |
py3-shodan-doc-1.31.0-r1.apk | 7105 | 2024-Oct-25 20:54 |
py3-shodan-pyc-1.31.0-r1.apk | 80785 | 2024-Oct-25 20:54 |
py3-simber-0.2.6-r5.apk | 11906 | 2025-May-14 18:20 |
py3-simber-pyc-0.2.6-r5.apk | 16327 | 2025-May-14 18:20 |
py3-simpleeval-1.0.3-r0.apk | 15730 | 2025-Jun-24 19:38 |
py3-simpleeval-pyc-1.0.3-r0.apk | 16598 | 2025-Jun-24 19:38 |
py3-simplematch-1.4-r1.apk | 7925 | 2024-Oct-25 20:54 |
py3-simplematch-pyc-1.4-r1.apk | 5673 | 2024-Oct-25 20:54 |
py3-simplesat-0.8.2-r0.apk | 218900 | 2024-Oct-25 20:54 |
py3-simplesat-pyc-0.8.2-r0.apk | 160065 | 2024-Oct-25 20:54 |
py3-simplesoapy-1.5.1-r7.apk | 7784 | 2024-Oct-25 20:54 |
py3-simplesoapy-pyc-1.5.1-r7.apk | 11789 | 2024-Oct-25 20:54 |
py3-simplespectral-1.0.0-r5.apk | 7416 | 2024-Oct-25 20:54 |
py3-simplespectral-pyc-1.0.0-r5.apk | 8007 | 2024-Oct-25 20:54 |
py3-slidge-style-parser-0.1.9-r0.apk | 242695 | 2025-Apr-12 05:45 |
py3-slidge-style-parser-pyc-0.1.9-r0.apk | 1750 | 2025-Apr-12 05:45 |
py3-slixmpp-1.8.5-r2.apk | 392112 | 2024-Oct-25 20:54 |
py3-slixmpp-doc-1.8.5-r2.apk | 5683 | 2024-Oct-25 20:54 |
py3-slixmpp-pyc-1.8.5-r2.apk | 746728 | 2024-Oct-25 20:54 |
py3-snapshottest-0.6.0-r5.apk | 15209 | 2024-Oct-25 20:54 |
py3-snapshottest-pyc-0.6.0-r5.apk | 26085 | 2024-Oct-25 20:54 |
py3-soappy-0.52.30-r0.apk | 48130 | 2024-Dec-02 23:03 |
py3-soappy-pyc-0.52.30-r0.apk | 97300 | 2024-Dec-02 23:03 |
py3-soapy_power-1.6.1-r5.apk | 17644 | 2024-Oct-25 20:54 |
py3-soapy_power-pyc-1.6.1-r5.apk | 26894 | 2024-Oct-25 20:54 |
py3-solidpython-1.1.2-r2.apk | 80322 | 2024-Oct-25 20:54 |
py3-solidpython-pyc-1.1.2-r2.apk | 122570 | 2024-Oct-25 20:54 |
py3-sortedcollections-2.1.0-r5.apk | 10651 | 2024-Oct-25 20:54 |
py3-sortedcollections-pyc-2.1.0-r5.apk | 13932 | 2024-Oct-25 20:54 |
py3-spake2-0.9-r0.apk | 30599 | 2024-Oct-25 20:54 |
py3-spake2-pyc-0.9-r0.apk | 44290 | 2024-Oct-25 20:54 |
py3-sphinx-argparse-0.5.2-r0.apk | 14258 | 2024-Oct-25 20:54 |
py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22384 | 2024-Oct-25 20:54 |
py3-sphinx-autoapi-3.6.0-r0.apk | 31719 | 2025-Feb-18 22:59 |
py3-sphinx-autoapi-pyc-3.6.0-r0.apk | 59993 | 2025-Feb-18 22:59 |
py3-sphinx-theme-better-0.1.5-r7.apk | 10511 | 2024-Oct-25 20:54 |
py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 1764 | 2024-Oct-25 20:54 |
py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1212245 | 2024-Oct-25 20:54 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2135 | 2024-Oct-25 20:54 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 66050 | 2024-Oct-25 20:54 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1613 | 2024-Oct-25 20:54 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 82995 | 2024-Oct-25 20:54 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 43534 | 2024-Oct-25 20:54 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 30305 | 2024-Oct-25 20:54 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2267 | 2024-Oct-25 20:54 |
py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2564603 | 2024-Oct-25 20:54 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5376 | 2024-Oct-25 20:54 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8764 | 2024-Oct-25 20:54 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 1997 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7548 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9233 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 8957 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12001 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5545 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 3918 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7457 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9106 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5600 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4087 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 18089 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 34043 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9068 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3298 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3742 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3147 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 17276 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6518 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 7787 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7538 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10542 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15127 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18266 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4174 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 34438 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1...> | 21612 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930..> | 44625 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10521 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15175 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11099 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11969 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7848 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10586 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 3970 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 2957 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10245 | 2025-May-08 12:40 |
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16147 | 2025-May-08 12:40 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8658 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11196 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11342 | 2025-Jul-12 16:34 |
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 17344 | 2025-Jul-12 16:34 |
py3-sphinxcontrib-programoutput-0.17-r5.apk | 16601 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 24155 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11329 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20722 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7564 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9253 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-slide-1.0.0-r4.apk | 4733 | 2025-May-14 17:30 |
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5428 | 2025-May-14 17:30 |
py3-sphinxcontrib-spelling-8.0.1-r0.apk | 13236 | 2025-Jul-13 21:34 |
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 19117 | 2025-Jul-13 21:34 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7255 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4782 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 5990 | 2024-Oct-25 20:54 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5523 | 2024-Oct-25 20:54 |
py3-spidev-3.6-r1.apk | 14134 | 2024-Oct-25 20:54 |
py3-spin-0.8-r0.apk | 18699 | 2024-Oct-25 20:54 |
py3-spin-pyc-0.8-r0.apk | 24808 | 2024-Oct-25 20:54 |
py3-spinners-0.0.24-r5.apk | 5970 | 2024-Oct-25 20:54 |
py3-spinners-pyc-0.0.24-r5.apk | 6134 | 2024-Oct-25 20:54 |
py3-spnego-0.11.2-r0.apk | 120473 | 2025-Jan-16 07:54 |
py3-spnego-pyc-0.11.2-r0.apk | 224064 | 2025-Jan-16 07:54 |
py3-spotipy-2.24.0-r2.apk | 30060 | 2025-May-14 18:20 |
py3-spotipy-pyc-2.24.0-r2.apk | 50438 | 2025-May-14 18:20 |
py3-sqlmodel-0.0.22-r1.apk | 26688 | 2024-Dec-06 23:04 |
py3-sqlmodel-pyc-0.0.22-r1.apk | 41906 | 2024-Dec-06 23:04 |
py3-sssd-2.11.1-r1.apk | 64068 | 2025-Aug-09 12:15 |
py3-sssd-pyc-2.11.1-r1.apk | 49544 | 2025-Aug-09 12:15 |
py3-sstash-0.17-r9.apk | 7643 | 2024-Oct-25 20:54 |
py3-sstash-pyc-0.17-r9.apk | 10269 | 2024-Oct-25 20:54 |
py3-svglib-1.5.1-r0.apk | 30351 | 2025-Jun-10 18:56 |
py3-svglib-doc-1.5.1-r0.apk | 1866 | 2025-Jun-10 18:56 |
py3-svglib-pyc-1.5.1-r0.apk | 43168 | 2025-Jun-10 18:56 |
py3-svgpath-7.0-r0.apk | 18783 | 2025-Jul-08 06:16 |
py3-svgpath-pyc-7.0-r0.apk | 24121 | 2025-Jul-08 06:16 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2602248 | 2024-Oct-25 20:54 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 1890 | 2024-Oct-25 20:54 |
py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9730 | 2025-Aug-09 12:15 |
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5492 | 2025-Aug-09 12:15 |
py3-tailer-0.4.1-r7.apk | 6768 | 2024-Oct-25 20:54 |
py3-tailer-pyc-0.4.1-r7.apk | 6526 | 2024-Oct-25 20:54 |
py3-tasklib-2.5.1-r2.apk | 23389 | 2024-Oct-25 20:54 |
py3-tasklib-pyc-2.5.1-r2.apk | 52497 | 2024-Oct-25 20:54 |
py3-telegram-bot-22.3-r0.apk | 498501 | 2025-Aug-13 15:30 |
py3-telegram-bot-pyc-22.3-r0.apk | 799958 | 2025-Aug-13 15:30 |
py3-telegram-text-0.2.0-r1.apk | 9145 | 2024-Oct-25 20:54 |
py3-telegram-text-pyc-0.2.0-r1.apk | 12998 | 2024-Oct-25 20:54 |
py3-telemetrix-1.20-r3.apk | 21176 | 2024-Oct-25 20:54 |
py3-telemetrix-pyc-1.20-r3.apk | 31521 | 2024-Oct-25 20:54 |
py3-teletype-1.3.4-r3.apk | 15514 | 2024-Oct-25 20:54 |
py3-teletype-pyc-1.3.4-r3.apk | 20753 | 2024-Oct-25 20:54 |
py3-testresources-2.0.1-r6.apk | 17501 | 2024-Oct-25 20:54 |
py3-testresources-pyc-2.0.1-r6.apk | 16069 | 2024-Oct-25 20:54 |
py3-textual-3.2.0-r0.apk | 610340 | 2025-May-14 17:30 |
py3-textual-pyc-3.2.0-r0.apk | 1215860 | 2025-May-14 17:30 |
py3-thefuzz-0.22.1-r1.apk | 9986 | 2024-Oct-25 20:54 |
py3-thefuzz-pyc-0.22.1-r1.apk | 8899 | 2024-Oct-25 20:54 |
py3-ticket-auth-0.1.4-r9.apk | 5829 | 2024-Oct-25 20:54 |
py3-ticket-auth-pyc-0.1.4-r9.apk | 6260 | 2024-Oct-25 20:54 |
py3-tidalapi-0.8.4-r0.apk | 51059 | 2025-Jul-12 16:35 |
py3-tidalapi-pyc-0.8.4-r0.apk | 92625 | 2025-Jul-12 16:35 |
py3-timeago-1.0.16-r0.apk | 23899 | 2024-Oct-25 20:54 |
py3-timeago-doc-1.0.16-r0.apk | 2651 | 2024-Oct-25 20:54 |
py3-timeago-pyc-1.0.16-r0.apk | 28055 | 2024-Oct-25 20:54 |
py3-tls_parser-2.0.2-r0.apk | 10284 | 2025-Jul-14 03:37 |
py3-tls_parser-pyc-2.0.2-r0.apk | 17304 | 2025-Jul-14 03:37 |
py3-tlslite-ng-0.7.6-r8.apk | 182521 | 2024-Oct-25 20:54 |
py3-tlslite-ng-pyc-0.7.6-r8.apk | 281099 | 2024-Oct-25 20:54 |
py3-tokenizers-0.21.2-r0.apk | 1826318 | 2025-Jun-29 22:43 |
py3-tokenizers-pyc-0.21.2-r0.apk | 29293 | 2025-Jun-29 22:43 |
py3-tpm2-pytss-2.3.0-r1.apk | 282989 | 2024-Oct-25 20:54 |
py3-tpm2-pytss-pyc-2.3.0-r1.apk | 241501 | 2024-Oct-25 20:54 |
py3-transitions-0.9.2-r0.apk | 99812 | 2024-Oct-25 20:54 |
py3-transitions-pyc-0.9.2-r0.apk | 131619 | 2024-Oct-25 20:54 |
py3-translationstring-1.4-r4.apk | 9106 | 2024-Oct-25 20:54 |
py3-translationstring-pyc-1.4-r4.apk | 8712 | 2024-Oct-25 20:54 |
py3-trimesh-3.22.1-r2.apk | 644328 | 2024-Oct-25 20:54 |
py3-trimesh-pyc-3.22.1-r2.apk | 748580 | 2024-Oct-25 20:54 |
py3-trivup-0.12.2-r2.apk | 34573 | 2024-Oct-25 20:54 |
py3-trivup-pyc-0.12.2-r2.apk | 55960 | 2024-Oct-25 20:54 |
py3-truststore-0.10.1-r0.apk | 17645 | 2025-Feb-10 06:47 |
py3-truststore-pyc-0.10.1-r0.apk | 25854 | 2025-Feb-10 06:47 |
py3-twiggy-0.5.1-r4.apk | 24439 | 2024-Oct-25 20:54 |
py3-twiggy-pyc-0.5.1-r4.apk | 39339 | 2024-Oct-25 20:54 |
py3-typing_inspect-0.9.0-r2.apk | 9883 | 2024-Oct-25 20:54 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14305 | 2024-Oct-25 20:54 |
py3-u-msgpack-2.8.0-r2.apk | 10904 | 2024-Oct-25 20:54 |
py3-u-msgpack-pyc-2.8.0-r2.apk | 16357 | 2024-Oct-25 20:54 |
py3-uacme-desec-1.2.1-r0.apk | 5574 | 2024-Oct-25 20:54 |
py3-uacme-desec-doc-1.2.1-r0.apk | 1980 | 2024-Oct-25 20:54 |
py3-uacme-desec-pyc-1.2.1-r0.apk | 6669 | 2024-Oct-25 20:54 |
py3-uc-micro-py-1.0.2-r1.apk | 9017 | 2024-Oct-25 20:54 |
py3-unearth-0.17.5-r0.apk | 41065 | 2025-Apr-11 05:35 |
py3-unearth-pyc-0.17.5-r0.apk | 83260 | 2025-Apr-11 05:35 |
py3-unicrypto-0.0.11-r0.apk | 60062 | 2025-Aug-19 20:17 |
py3-unicrypto-pyc-0.0.11-r0.apk | 94144 | 2025-Aug-19 20:17 |
py3-unidns-0.0.2-r0.apk | 14172 | 2025-Jul-30 19:25 |
py3-unidns-examples-0.0.2-r0.apk | 2401 | 2025-Jul-30 19:25 |
py3-unidns-pyc-0.0.2-r0.apk | 24281 | 2025-Jul-30 19:25 |
py3-uptime-3.0.1-r9.apk | 10051 | 2024-Oct-25 20:54 |
py3-uptime-pyc-3.0.1-r9.apk | 8642 | 2024-Oct-25 20:54 |
py3-urlobject-2.4.3-r9.apk | 14847 | 2024-Oct-25 20:54 |
py3-urlobject-pyc-2.4.3-r9.apk | 25007 | 2024-Oct-25 20:54 |
py3-us-3.2.0-r0.apk | 14395 | 2024-Oct-25 20:54 |
py3-us-pyc-3.2.0-r0.apk | 15292 | 2024-Oct-25 20:54 |
py3-utc-0.0.3-r9.apk | 3289 | 2024-Oct-25 20:54 |
py3-utc-pyc-0.0.3-r9.apk | 2561 | 2024-Oct-25 20:54 |
py3-vatnumber-1.2-r9.apk | 18807 | 2024-Oct-25 20:54 |
py3-vatnumber-pyc-1.2-r9.apk | 8567 | 2024-Oct-25 20:54 |
py3-vdf-3.4-r1.apk | 11327 | 2024-Oct-25 20:54 |
py3-vdf-pyc-3.4-r1.apk | 16691 | 2024-Oct-25 20:54 |
py3-venusian-3.1.1-r0.apk | 14431 | 2024-Dec-07 21:14 |
py3-venusian-pyc-3.1.1-r0.apk | 12533 | 2024-Dec-07 21:14 |
py3-virtualenvwrapper-6.1.1-r0.apk | 21886 | 2025-Sep-01 00:26 |
py3-virtualenvwrapper-pyc-6.1.1-r0.apk | 11787 | 2025-Sep-01 00:26 |
py3-visitor-0.1.3-r7.apk | 4468 | 2024-Oct-25 20:54 |
py3-visitor-pyc-0.1.3-r7.apk | 2421 | 2024-Oct-25 20:54 |
py3-ward-0.67.0_beta0-r2.apk | 41424 | 2024-Oct-25 20:54 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 81199 | 2024-Oct-25 20:54 |
py3-wbdata-1.0.0-r1.apk | 18673 | 2024-Oct-25 20:54 |
py3-wbdata-pyc-1.0.0-r1.apk | 19782 | 2024-Oct-25 20:54 |
py3-wg-netns-2.3.1-r1.apk | 7484 | 2024-Oct-25 20:54 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13443 | 2024-Oct-25 20:54 |
py3-wgconfig-1.1.0-r0.apk | 22228 | 2025-Jan-29 06:49 |
py3-wgconfig-pyc-1.1.0-r0.apk | 11711 | 2025-Jan-29 06:49 |
py3-wifi-0.3.8-r7.apk | 13054 | 2024-Oct-25 20:54 |
py3-wifi-pyc-0.3.8-r7.apk | 13798 | 2024-Oct-25 20:54 |
py3-winacl-0.1.9-r1.apk | 84404 | 2025-May-29 12:09 |
py3-winacl-pyc-0.1.9-r1.apk | 134124 | 2025-May-29 12:09 |
py3-wsgiprox-1.5.2-r1.apk | 16815 | 2024-Oct-25 20:54 |
py3-wsgiprox-pyc-1.5.2-r1.apk | 28579 | 2024-Oct-25 20:54 |
py3-wstools-0.4.10-r7.apk | 54218 | 2024-Oct-25 20:54 |
py3-wstools-pyc-0.4.10-r7.apk | 113144 | 2024-Oct-25 20:54 |
py3-wtf-peewee-3.0.6-r0.apk | 12752 | 2024-Oct-25 20:54 |
py3-wtf-peewee-pyc-3.0.6-r0.apk | 24983 | 2024-Oct-25 20:54 |
py3-x-wr-timezone-2.0.1-r0.apk | 11669 | 2025-Feb-09 15:14 |
py3-x-wr-timezone-pyc-2.0.1-r0.apk | 6999 | 2025-Feb-09 15:14 |
py3-xapp-2.4.2-r0.apk | 34151 | 2024-Nov-12 11:13 |
py3-xdoctest-1.2.0-r0.apk | 319729 | 2024-Nov-21 13:34 |
py3-xlwt-1.3.0-r10.apk | 95666 | 2025-May-14 17:30 |
py3-xlwt-pyc-1.3.0-r10.apk | 168582 | 2025-May-14 17:30 |
py3-xsdata-25.7-r0.apk | 193960 | 2025-Jul-07 20:48 |
py3-xsdata-pyc-25.7-r0.apk | 401855 | 2025-Jul-07 20:48 |
py3-yapsy-1.12.2-r7.apk | 32748 | 2024-Oct-25 20:54 |
py3-yapsy-pyc-1.12.2-r7.apk | 48006 | 2024-Oct-25 20:54 |
py3-yara-4.5.4-r0.apk | 19123 | 2025-Aug-13 15:33 |
py3-yosys-0.42-r1.apk | 1600 | 2025-Jun-12 14:19 |
py3-youtube-search-1.6.6-r5.apk | 79686 | 2025-May-14 18:20 |
py3-youtube-search-pyc-1.6.6-r5.apk | 97324 | 2025-May-14 18:20 |
py3-zimscraperlib-3.4.0-r0.apk | 52589 | 2024-Nov-06 09:43 |
py3-zimscraperlib-pyc-3.4.0-r0.apk | 69745 | 2024-Nov-06 09:43 |
py3-zipfile2-0.0.12-r0.apk | 45974 | 2024-Oct-25 20:54 |
py3-zipfile2-pyc-0.0.12-r0.apk | 29360 | 2024-Oct-25 20:54 |
py3-zope-configuration-5.0.1-r2.apk | 39815 | 2024-Oct-25 20:54 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 49424 | 2024-Oct-25 20:54 |
py3-zope-i18nmessageid-6.1.0-r2.apk | 16796 | 2024-Oct-25 20:54 |
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 7912 | 2024-Oct-25 20:54 |
py3-zope-schema-7.0.1-r3.apk | 46079 | 2024-Oct-25 20:54 |
py3-zope-schema-pyc-7.0.1-r3.apk | 62266 | 2024-Oct-25 20:54 |
pyinfra-3.3.1-r0.apk | 196324 | 2025-Jul-16 00:27 |
pyinfra-pyc-3.3.1-r0.apk | 369432 | 2025-Jul-16 00:27 |
pympress-1.8.5-r1.apk | 185263 | 2024-Oct-25 20:54 |
pympress-doc-1.8.5-r1.apk | 356517 | 2024-Oct-25 20:54 |
pympress-lang-1.8.5-r1.apk | 57381 | 2024-Oct-25 20:54 |
pympress-pyc-1.8.5-r1.apk | 186302 | 2024-Oct-25 20:54 |
pyonji-0.1.0-r8.apk | 2873941 | 2025-Aug-09 12:15 |
pypy-7.3.12-r0.apk | 16198355 | 2024-Oct-25 20:54 |
pypy-bootstrap-7.3.12-r0.apk | 16934852 | 2024-Oct-25 20:54 |
pypy-dev-7.3.12-r0.apk | 79715 | 2024-Oct-25 20:54 |
pypy-tkinter-7.3.12-r0.apk | 463302 | 2024-Oct-25 20:54 |
pypykatz-0.6.11-r1.apk | 322696 | 2025-May-29 12:09 |
pypykatz-pyc-0.6.11-r1.apk | 738168 | 2025-May-29 12:09 |
pyradio-0.9.3.11-r0.apk | 891434 | 2024-Oct-25 20:54 |
pyradio-doc-0.9.3.11-r0.apk | 115091 | 2024-Oct-25 20:54 |
pyradio-pyc-0.9.3.11-r0.apk | 829510 | 2024-Oct-25 20:54 |
qadwaitadecorations-0.1.6-r1.apk | 50339 | 2025-Aug-09 12:15 |
qbittorrent-cli-2.2.0-r2.apk | 5680373 | 2025-Aug-08 16:55 |
qdjango-0.6.2-r1.apk | 108025 | 2024-Oct-25 20:54 |
qdjango-dev-0.6.2-r1.apk | 14128 | 2024-Oct-25 20:54 |
qflipper-1.3.3-r1.apk | 537337 | 2024-Oct-25 20:54 |
qflipper-gui-1.3.3-r1.apk | 1172914 | 2024-Oct-25 20:54 |
qman-1.5.0-r0.apk | 67117 | 2025-Aug-12 18:39 |
qman-doc-1.5.0-r0.apk | 9494 | 2025-Aug-12 18:39 |
qmk-cli-1.1.8-r0.apk | 15069 | 2025-Jun-01 16:54 |
qmk-cli-pyc-1.1.8-r0.apk | 22152 | 2025-Jun-01 16:54 |
qml-box2d-0_git20180406-r0.apk | 152438 | 2024-Oct-25 20:54 |
qoi-0.0.0_git20230312-r0.apk | 1234 | 2024-Oct-25 20:54 |
qoi-dev-0.0.0_git20230312-r0.apk | 6730 | 2024-Oct-25 20:54 |
qoiconv-0.0.0_git20230312-r0.apk | 31685 | 2024-Oct-25 20:54 |
qpdfview-0.5-r2.apk | 1055769 | 2025-Jan-29 19:22 |
qpdfview-doc-0.5-r2.apk | 4081 | 2025-Jan-29 19:22 |
qperf-0.4.11-r2.apk | 33056 | 2025-May-14 17:30 |
qperf-doc-0.4.11-r2.apk | 5431 | 2025-May-14 17:30 |
qqc2-suru-style-0.20230206-r1.apk | 178977 | 2024-Oct-25 20:54 |
qspectrumanalyzer-2.2.0-r5.apk | 54868 | 2024-Oct-25 20:54 |
qspectrumanalyzer-pyc-2.2.0-r5.apk | 63520 | 2024-Oct-25 20:54 |
qsstv-9.5.8-r2.apk | 1062930 | 2024-Oct-25 20:54 |
qstardict-2.0.2-r1.apk | 466700 | 2024-Nov-24 03:04 |
qstardict-doc-2.0.2-r1.apk | 10626 | 2024-Nov-24 03:04 |
qsynth-1.0.2-r0.apk | 448125 | 2024-Oct-25 20:54 |
qsynth-doc-1.0.2-r0.apk | 4204 | 2024-Oct-25 20:54 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 13221 | 2024-Oct-25 20:54 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3768 | 2024-Oct-25 20:54 |
qt5ct-1.8-r0.apk | 240032 | 2024-Oct-25 20:54 |
qt5ct-dev-1.8-r0.apk | 1255 | 2024-Oct-25 20:54 |
qt6ct-0.9-r4.apk | 202558 | 2025-Jul-09 08:05 |
qtile-0.30.0-r0.apk | 446009 | 2025-Feb-10 19:58 |
qtile-pyc-0.30.0-r0.apk | 857250 | 2025-Feb-10 19:58 |
qtmir-0.7.2_git20250407-r1.apk | 552872 | 2025-Jun-28 17:19 |
qtmir-dev-0.7.2_git20250407-r1.apk | 6528 | 2025-Jun-28 17:19 |
qtpass-1.4.0-r0.apk | 434355 | 2024-Oct-25 20:54 |
qtpass-doc-1.4.0-r0.apk | 1924 | 2024-Oct-25 20:54 |
quakespasm-0.96.3-r0.apk | 573551 | 2024-Oct-25 20:54 |
queercat-1.0.0-r0.apk | 8224 | 2024-Oct-25 20:54 |
quodlibet-4.6.0-r2.apk | 1083183 | 2025-May-14 17:30 |
quodlibet-bash-completion-4.6.0-r2.apk | 4515 | 2025-May-14 17:30 |
quodlibet-doc-4.6.0-r2.apk | 8622 | 2025-May-14 17:30 |
quodlibet-lang-4.6.0-r2.apk | 1229651 | 2025-May-14 17:30 |
quodlibet-pyc-4.6.0-r2.apk | 1891387 | 2025-May-14 17:30 |
quodlibet-zsh-completion-4.6.0-r2.apk | 2513 | 2025-May-14 17:30 |
r2ghidra-5.9.4-r2.apk | 15337714 | 2024-Nov-19 18:22 |
ra-multiplex-0.2.5-r0.apk | 1090160 | 2025-Aug-12 16:08 |
ra-multiplex-doc-0.2.5-r0.apk | 2237 | 2025-Aug-12 16:08 |
raku-base64-0.1.0-r1.apk | 20264 | 2025-Aug-29 08:25 |
raku-base64-doc-0.1.0-r1.apk | 2576 | 2025-Aug-29 08:25 |
raku-cbor-simple-0.1.4-r1.apk | 177895 | 2025-Aug-29 08:25 |
raku-cbor-simple-doc-0.1.4-r1.apk | 6848 | 2025-Aug-29 08:25 |
raku-clifford-7.0.1_git20250228-r1.apk | 707706 | 2025-Aug-29 08:25 |
raku-clifford-doc-7.0.1_git20250228-r1.apk | 2726 | 2025-Aug-29 08:25 |
raku-cro-0.8.10-r1.apk | 2660305 | 2025-Aug-29 08:25 |
raku-cro-core-0.8.10-r1.apk | 799100 | 2025-Aug-29 08:25 |
raku-cro-core-doc-0.8.10-r1.apk | 2167 | 2025-Aug-29 08:25 |
raku-cro-doc-0.8.10-r1.apk | 2569 | 2025-Aug-29 08:25 |
raku-cro-http-0.8.10-r1.apk | 5584230 | 2025-Aug-29 08:25 |
raku-cro-http-doc-0.8.10-r1.apk | 2650 | 2025-Aug-29 08:25 |
raku-cro-tls-0.8.10-r1.apk | 42218 | 2025-Aug-29 08:25 |
raku-cro-tls-doc-0.8.10-r1.apk | 1976 | 2025-Aug-29 08:25 |
raku-cro-webapp-0.10.0-r1.apk | 4764079 | 2025-Aug-29 08:25 |
raku-cro-webapp-doc-0.10.0-r1.apk | 2152 | 2025-Aug-29 08:25 |
raku-cro-websocket-0.8.10-r1.apk | 1845858 | 2025-Aug-29 08:25 |
raku-cro-websocket-doc-0.8.10-r1.apk | 2206 | 2025-Aug-29 08:25 |
raku-crypt-random-0.4.1-r1.apk | 112463 | 2025-Aug-29 08:25 |
raku-crypt-random-doc-0.4.1-r1.apk | 2335 | 2025-Aug-29 08:25 |
raku-datetime-parse-0.9.3-r1.apk | 49307 | 2025-Aug-29 08:25 |
raku-datetime-parse-doc-0.9.3-r1.apk | 2761 | 2025-Aug-29 08:25 |
raku-dbiish-0.6.7-r1.apk | 1973526 | 2025-Aug-29 08:25 |
raku-dbiish-doc-0.6.7-r1.apk | 13729 | 2025-Aug-29 08:25 |
raku-digest-1.1.0-r1.apk | 880202 | 2025-Aug-29 08:25 |
raku-digest-doc-1.1.0-r1.apk | 2598 | 2025-Aug-29 08:25 |
raku-digest-hmac-1.0.6_git20231116-r1.apk | 7039 | 2025-Aug-29 08:25 |
raku-digest-hmac-doc-1.0.6_git20231116-r1.apk | 3115 | 2025-Aug-29 08:25 |
raku-digest-sha1-native-0.06-r1.apk | 48894 | 2025-Aug-29 08:25 |
raku-digest-sha1-native-doc-0.06-r1.apk | 2198 | 2025-Aug-29 08:25 |
raku-distribution-builder-makefromjson-0.6-r1.apk | 42090 | 2025-Aug-29 08:25 |
raku-distribution-builder-makefromjson-doc-0.6-r..> | 2143 | 2025-Aug-29 08:25 |
raku-docker-file-1.1-r1.apk | 133901 | 2025-Aug-29 08:25 |
raku-docker-file-doc-1.1-r1.apk | 2313 | 2025-Aug-29 08:25 |
raku-file-find-0.2.1-r1.apk | 17162 | 2025-Aug-29 08:25 |
raku-file-find-doc-0.2.1-r1.apk | 4064 | 2025-Aug-29 08:25 |
raku-file-ignore-1.2-r1.apk | 47300 | 2025-Aug-29 08:25 |
raku-file-ignore-doc-1.2-r1.apk | 4008 | 2025-Aug-29 08:25 |
raku-file-temp-0.0.12-r1.apk | 45377 | 2025-Aug-29 08:25 |
raku-file-temp-doc-0.0.12-r1.apk | 2638 | 2025-Aug-29 08:25 |
raku-file-which-1.0.4-r1.apk | 108746 | 2025-Aug-29 08:25 |
raku-file-which-doc-1.0.4-r1.apk | 3424 | 2025-Aug-29 08:25 |
raku-functionalparsers-0.1.10-r1.apk | 1913844 | 2025-Aug-29 08:25 |
raku-functionalparsers-doc-0.1.10-r1.apk | 8699 | 2025-Aug-29 08:25 |
raku-html-escape-0.0.1-r1.apk | 12074 | 2025-Aug-29 08:25 |
raku-html-escape-doc-0.0.1-r1.apk | 2314 | 2025-Aug-29 08:25 |
raku-http-hpack-1.0.3-r1.apk | 89893 | 2025-Aug-29 08:25 |
raku-http-hpack-doc-1.0.3-r1.apk | 3041 | 2025-Aug-29 08:25 |
raku-if-0.1.3-r1.apk | 28293 | 2025-Aug-29 08:25 |
raku-if-doc-0.1.3-r1.apk | 2420 | 2025-Aug-29 08:25 |
raku-inline-perl5-0.60_git20250327-r1.apk | 2191557 | 2025-Aug-29 08:25 |
raku-inline-perl5-doc-0.60_git20250327-r1.apk | 6180 | 2025-Aug-29 08:25 |
raku-io-path-childsecure-1.2-r1.apk | 10494 | 2025-Aug-29 08:25 |
raku-io-path-childsecure-doc-1.2-r1.apk | 2661 | 2025-Aug-29 08:25 |
raku-io-socket-async-ssl-0.8.2-r1.apk | 283118 | 2025-Aug-29 08:25 |
raku-io-socket-async-ssl-doc-0.8.2-r1.apk | 6037 | 2025-Aug-29 08:25 |
raku-json-class-0.0.21-r1.apk | 49108 | 2025-Aug-29 08:25 |
raku-json-class-doc-0.0.21-r1.apk | 3136 | 2025-Aug-29 08:25 |
raku-json-jwt-1.1.2-r1.apk | 36805 | 2025-Aug-29 08:25 |
raku-json-jwt-doc-1.1.2-r1.apk | 3032 | 2025-Aug-29 08:25 |
raku-json-marshal-0.0.25-r1.apk | 72558 | 2025-Aug-29 08:25 |
raku-json-marshal-doc-0.0.25-r1.apk | 3485 | 2025-Aug-29 08:25 |
raku-json-name-0.0.7-r1.apk | 37570 | 2025-Aug-29 08:25 |
raku-json-name-doc-0.0.7-r1.apk | 2585 | 2025-Aug-29 08:25 |
raku-json-optin-0.0.2-r1.apk | 22408 | 2025-Aug-29 08:25 |
raku-json-optin-doc-0.0.2-r1.apk | 2536 | 2025-Aug-29 08:25 |
raku-json-unmarshal-0.18-r1.apk | 74019 | 2025-Aug-29 08:25 |
raku-json-unmarshal-doc-0.18-r1.apk | 3138 | 2025-Aug-29 08:25 |
raku-librarymake-1.0.5-r1.apk | 38410 | 2025-Aug-29 08:25 |
raku-librarymake-doc-1.0.5-r1.apk | 4344 | 2025-Aug-29 08:25 |
raku-log-timeline-0.5.2-r1.apk | 286879 | 2025-Aug-29 08:25 |
raku-log-timeline-doc-0.5.2-r1.apk | 5274 | 2025-Aug-29 08:25 |
raku-meta6-0.0.30-r1.apk | 111093 | 2025-Aug-29 08:25 |
raku-meta6-doc-0.0.30-r1.apk | 3034 | 2025-Aug-29 08:25 |
raku-monad-0.1.2-r1.apk | 3777879 | 2025-Aug-29 08:25 |
raku-monad-doc-0.1.2-r1.apk | 3205 | 2025-Aug-29 08:25 |
raku-nativehelpers-blob-0.1.12-r1.apk | 201645 | 2025-Aug-29 08:25 |
raku-nativehelpers-blob-doc-0.1.12-r1.apk | 3221 | 2025-Aug-29 08:25 |
raku-nativelibs-0.0.9-r1.apk | 88195 | 2025-Aug-29 08:25 |
raku-nativelibs-doc-0.0.9-r1.apk | 2415 | 2025-Aug-29 08:25 |
raku-oo-monitors-1.1.5-r1.apk | 13073 | 2025-Aug-29 08:25 |
raku-oo-monitors-doc-1.1.5-r1.apk | 2696 | 2025-Aug-29 08:25 |
raku-protocol-mqtt-0.0.4-r1.apk | 229607 | 2025-Aug-29 08:25 |
raku-protocol-mqtt-doc-0.0.4-r1.apk | 2127 | 2025-Aug-29 08:25 |
raku-shell-command-1.1-r1.apk | 41160 | 2025-Aug-29 08:25 |
raku-shell-command-doc-1.1-r1.apk | 3362 | 2025-Aug-29 08:25 |
raku-system-query-0.1.6-r1.apk | 16863 | 2025-Aug-29 08:25 |
raku-system-query-doc-0.1.6-r1.apk | 2310 | 2025-Aug-29 08:25 |
raku-terminal-quickcharts-0.0.2-r1.apk | 221812 | 2025-Aug-29 08:25 |
raku-terminal-quickcharts-doc-0.0.2-r1.apk | 2900 | 2025-Aug-29 08:25 |
raku-text-markdown-1.1.1_git20221121-r1.apk | 107586 | 2025-Aug-29 08:25 |
raku-text-markdown-doc-1.1.1_git20221121-r1.apk | 3320 | 2025-Aug-29 08:25 |
raku-time-crontab-1.0.0-r1.apk | 125531 | 2025-Aug-29 08:25 |
raku-time-crontab-doc-1.0.0-r1.apk | 3217 | 2025-Aug-29 08:25 |
raku-tinyfloats-0.0.5-r1.apk | 35328 | 2025-Aug-29 08:25 |
raku-tinyfloats-doc-0.0.5-r1.apk | 3928 | 2025-Aug-29 08:25 |
randrctl-1.10.0-r0.apk | 28328 | 2024-Nov-17 21:22 |
randrctl-pyc-1.10.0-r0.apk | 31670 | 2024-Nov-17 21:22 |
rankwidth-0.9-r3.apk | 6927 | 2024-Oct-25 20:54 |
rankwidth-dev-0.9-r3.apk | 2742 | 2024-Oct-25 20:54 |
rankwidth-doc-0.9-r3.apk | 2833 | 2024-Oct-25 20:54 |
rankwidth-libs-0.9-r3.apk | 5601 | 2024-Oct-25 20:54 |
rankwidth-static-0.9-r3.apk | 4951 | 2024-Oct-25 20:54 |
raspberrypi-usbboot-20250227-r0.apk | 908093 | 2025-Jun-09 08:55 |
rathole-0.5.0-r0.apk | 1505667 | 2024-Oct-25 20:54 |
rattler-build-0.18.0-r0.apk | 6351756 | 2024-Oct-25 20:54 |
rattler-build-bash-completion-0.18.0-r0.apk | 3439 | 2024-Oct-25 20:54 |
rattler-build-doc-0.18.0-r0.apk | 6620 | 2024-Oct-25 20:54 |
rattler-build-fish-completion-0.18.0-r0.apk | 4562 | 2024-Oct-25 20:54 |
rattler-build-zsh-completion-0.18.0-r0.apk | 5303 | 2024-Oct-25 20:54 |
rauc-1.10.1-r0.apk | 147433 | 2024-Oct-25 20:54 |
rauc-doc-1.10.1-r0.apk | 4002 | 2024-Oct-25 20:54 |
rauc-service-1.10.1-r0.apk | 3566 | 2024-Oct-25 20:54 |
razercfg-0.42-r7.apk | 90186 | 2024-Oct-25 20:54 |
razercfg-gui-0.42-r7.apk | 19164 | 2024-Oct-25 20:54 |
razercfg-openrc-0.42-r7.apk | 1498 | 2024-Oct-25 20:54 |
razercfg-pyc-0.42-r7.apk | 36210 | 2024-Oct-25 20:54 |
rclone-browser-1.8.0-r1.apk | 339188 | 2024-Oct-25 20:54 |
rdedup-3.2.1-r5.apk | 881403 | 2024-Oct-25 20:54 |
rdrview-0.1.3-r0.apk | 33147 | 2025-Feb-22 19:27 |
rdrview-doc-0.1.3-r0.apk | 3516 | 2025-Feb-22 19:27 |
reaction-2.2.0-r0.apk | 1555222 | 2025-Aug-09 12:15 |
reaction-openrc-2.2.0-r0.apk | 1604 | 2025-Aug-09 12:15 |
reaction-tools-2.2.0-r0.apk | 6815 | 2025-Aug-09 12:15 |
readosm-1.1.0-r3.apk | 15778 | 2025-May-14 17:30 |
readosm-dev-1.1.0-r3.apk | 21210 | 2025-May-14 17:30 |
reason-3.8.2-r1.apk | 20777487 | 2024-Oct-25 20:54 |
reason-rtop-3.8.2-r1.apk | 25446529 | 2024-Oct-25 20:54 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 459970 | 2024-Oct-25 20:54 |
recoll-1.37.5-r1.apk | 2857839 | 2024-Oct-25 20:54 |
recoll-dev-1.37.5-r1.apk | 54063 | 2024-Oct-25 20:54 |
recoll-doc-1.37.5-r1.apk | 21381 | 2024-Oct-25 20:54 |
redhat-fonts-4.1.0-r1.apk | 828139 | 2025-Aug-09 12:15 |
refine-0.5.10-r1.apk | 33990 | 2025-Aug-09 12:15 |
refine-lang-0.5.10-r1.apk | 33476 | 2025-Aug-09 12:15 |
reg-0.16.1-r30.apk | 4649604 | 2025-Aug-08 16:55 |
regal-0.33.1-r2.apk | 11905832 | 2025-Aug-08 16:55 |
regal-bash-completion-0.33.1-r2.apk | 5977 | 2025-Aug-08 16:55 |
regal-fish-completion-0.33.1-r2.apk | 4159 | 2025-Aug-08 16:55 |
regal-zsh-completion-0.33.1-r2.apk | 3872 | 2025-Aug-08 16:55 |
regclient-0.8.3-r2.apk | 13325066 | 2025-Aug-08 16:55 |
remake-1.5-r1.apk | 145398 | 2024-Oct-25 20:54 |
remake-dev-1.5-r1.apk | 2736 | 2024-Oct-25 20:54 |
remake-doc-1.5-r1.apk | 206227 | 2024-Oct-25 20:54 |
remake-make-1.5-r1.apk | 1306 | 2024-Oct-25 20:54 |
remco-0.12.5-r2.apk | 9740896 | 2025-Aug-08 16:55 |
remco-doc-0.12.5-r2.apk | 2045 | 2025-Aug-08 16:55 |
remco-openrc-0.12.5-r2.apk | 1478 | 2025-Aug-08 16:55 |
remind-caldav-0.8.0-r4.apk | 17840 | 2024-Oct-25 20:54 |
remind-caldav-pyc-0.8.0-r4.apk | 6021 | 2024-Oct-25 20:54 |
repgrep-0.15.0-r0.apk | 1279132 | 2024-Oct-25 20:54 |
repgrep-bash-completion-0.15.0-r0.apk | 1412 | 2024-Oct-25 20:54 |
repgrep-doc-0.15.0-r0.apk | 6383 | 2024-Oct-25 20:54 |
repgrep-fish-completion-0.15.0-r0.apk | 3977 | 2024-Oct-25 20:54 |
repgrep-zsh-completion-0.15.0-r0.apk | 1417 | 2024-Oct-25 20:54 |
repo-2.53-r0.apk | 16921 | 2025-Mar-20 00:13 |
repo-doc-2.53-r0.apk | 39116 | 2025-Mar-20 00:13 |
repowerd-2023.07-r3.apk | 936004 | 2025-Feb-18 22:22 |
repowerd-openrc-2023.07-r3.apk | 1447 | 2025-Feb-18 22:22 |
reprotest-0.7.30-r1.apk | 81950 | 2025-Aug-09 12:15 |
reprotest-pyc-0.7.30-r1.apk | 105139 | 2025-Aug-09 12:15 |
responder-3.1.5.0-r0.apk | 767725 | 2024-Oct-25 20:54 |
restart-services-0.17.0-r0.apk | 11791 | 2024-Oct-25 20:54 |
restart-services-doc-0.17.0-r0.apk | 6023 | 2024-Oct-25 20:54 |
restic.mk-0.4.0-r0.apk | 2715 | 2024-Oct-25 20:54 |
restinio-0.6.19-r1.apk | 996 | 2024-Dec-14 21:36 |
restinio-dev-0.6.19-r1.apk | 274010 | 2024-Dec-14 21:36 |
rezolus-2.11.1-r3.apk | 946699 | 2024-Oct-25 20:54 |
rezolus-doc-2.11.1-r3.apk | 3171 | 2024-Oct-25 20:54 |
rezolus-openrc-2.11.1-r3.apk | 1853 | 2024-Oct-25 20:54 |
rgxg-0.1.2-r2.apk | 15468 | 2024-Oct-25 20:54 |
rgxg-dev-0.1.2-r2.apk | 3344 | 2024-Oct-25 20:54 |
rgxg-doc-0.1.2-r2.apk | 12345 | 2024-Oct-25 20:54 |
rhasspy-nlu-0.4.0-r3.apk | 44368 | 2024-Oct-25 20:54 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 74348 | 2024-Oct-25 20:54 |
riemann-cli-0.8.0-r2.apk | 561944 | 2024-Oct-25 20:54 |
riff-3.4.1-r1.apk | 488685 | 2025-Aug-16 09:17 |
riff-doc-3.4.1-r1.apk | 5997 | 2025-Aug-16 09:17 |
rinetd-0.73-r0.apk | 15429 | 2024-Oct-25 20:54 |
rinetd-doc-0.73-r0.apk | 16576 | 2024-Oct-25 20:54 |
rinetd-openrc-0.73-r0.apk | 1491 | 2024-Oct-25 20:54 |
rio-0.2.26-r0.apk | 11026003 | 2025-Aug-06 22:20 |
rio-doc-0.2.26-r0.apk | 2073 | 2025-Aug-06 22:20 |
rio-terminfo-0.2.26-r0.apk | 3230 | 2025-Aug-06 22:20 |
ripasso-cursive-0.7.0-r0.apk | 3381701 | 2025-May-23 08:56 |
river-luatile-0.1.4-r0.apk | 274275 | 2025-May-19 12:46 |
river-shifttags-0.2.1-r1.apk | 6520 | 2025-May-14 17:30 |
river-shifttags-doc-0.2.1-r1.apk | 2160 | 2025-May-14 17:30 |
rizin-0.8.1-r0.apk | 2809462 | 2025-Jun-30 16:51 |
rizin-cutter-2.4.1-r0.apk | 2766639 | 2025-Jun-30 16:51 |
rizin-cutter-dev-2.4.1-r0.apk | 105413 | 2025-Jun-30 16:51 |
rizin-dev-0.8.1-r0.apk | 330047 | 2025-Jun-30 16:51 |
rizin-doc-0.8.1-r0.apk | 19452 | 2025-Jun-30 16:51 |
rizin-libs-0.8.1-r0.apk | 5989389 | 2025-Jun-30 16:51 |
rkdeveloptool-1.1.0-r1.apk | 56419 | 2024-Oct-25 20:54 |
rkdeveloptool-doc-1.1.0-r1.apk | 2759 | 2024-Oct-25 20:54 |
rke-1.4.3-r17.apk | 19888200 | 2025-Aug-08 16:55 |
rke-doc-1.4.3-r17.apk | 2762 | 2025-Aug-08 16:55 |
rmlint-2.10.2-r2.apk | 153222 | 2024-Oct-25 20:54 |
rmlint-doc-2.10.2-r2.apk | 17972 | 2024-Oct-25 20:54 |
rmlint-lang-2.10.2-r2.apk | 19090 | 2024-Oct-25 20:54 |
rmlint-shredder-2.10.2-r2.apk | 98000 | 2024-Oct-25 20:54 |
rmlint-shredder-pyc-2.10.2-r2.apk | 127218 | 2024-Oct-25 20:54 |
rocm-cmake-6.4.3-r0.apk | 28856 | 2025-Aug-18 11:32 |
rocm-cmake-doc-6.4.3-r0.apk | 2218 | 2025-Aug-18 11:32 |
rocm-core-6.4.3-r0.apk | 7961 | 2025-Aug-18 11:32 |
rocm-core-dev-6.4.3-r0.apk | 6482 | 2025-Aug-18 11:32 |
rocm-core-doc-6.4.3-r0.apk | 2222 | 2025-Aug-18 11:32 |
rofi-json-menu-0.2.0-r1.apk | 5705 | 2024-Oct-25 20:54 |
rofi-pass-2.0.2-r2.apk | 9054 | 2024-Oct-25 20:54 |
rofi-pass-doc-2.0.2-r2.apk | 5074 | 2024-Oct-25 20:54 |
roll-2.6.1-r0.apk | 13503 | 2025-May-27 00:20 |
roll-bash-completion-2.6.1-r0.apk | 1654 | 2025-May-27 00:20 |
roll-doc-2.6.1-r0.apk | 11331 | 2025-May-27 00:20 |
rosdep-0.19.0-r6.apk | 67453 | 2024-Oct-25 20:54 |
rosdep-pyc-0.19.0-r6.apk | 121584 | 2024-Oct-25 20:54 |
rosenpass-0.2.2-r1.apk | 1070882 | 2025-Feb-01 18:23 |
rot8-1.0.0-r0.apk | 821863 | 2025-Jul-28 22:09 |
rot8-doc-1.0.0-r0.apk | 2012 | 2025-Jul-28 22:09 |
rpg-cli-1.2.0-r0.apk | 654142 | 2024-Oct-25 20:54 |
rpi-imager-1.9.0-r1.apk | 719817 | 2025-Jul-24 08:09 |
rpi-imager-doc-1.9.0-r1.apk | 2916 | 2025-Jul-24 08:09 |
rss-email-0.5.1-r0.apk | 2386233 | 2025-Aug-10 11:21 |
rss-email-doc-0.5.1-r0.apk | 6282 | 2025-Aug-10 11:21 |
rsstail-2.2-r0.apk | 9553 | 2025-Feb-13 07:17 |
rsstail-doc-2.2-r0.apk | 2556 | 2025-Feb-13 07:17 |
rt5-5.0.8-r0.apk | 17738287 | 2025-Jun-15 04:45 |
rt6-6.0.1-r0.apk | 12858667 | 2025-Aug-12 08:18 |
rtl-power-fftw-20200601-r4.apk | 63498 | 2024-Oct-25 20:54 |
rtl-power-fftw-doc-20200601-r4.apk | 8084 | 2024-Oct-25 20:54 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4188964 | 2024-Oct-25 20:54 |
rtmidi-6.0.0-r0.apk | 31920 | 2024-Oct-25 20:54 |
rtmidi-dev-6.0.0-r0.apk | 14193 | 2024-Oct-25 20:54 |
rtptools-1.22-r2.apk | 40629 | 2024-Oct-25 20:54 |
rtptools-doc-1.22-r2.apk | 12649 | 2024-Oct-25 20:54 |
rtw89-src-7_p20230725-r0.apk | 776708 | 2024-Oct-25 20:54 |
ruby-ansi-1.5.0-r0.apk | 26234 | 2025-Jul-06 19:18 |
ruby-appraisal-2.5.0-r0.apk | 10863 | 2025-May-25 23:52 |
ruby-appraisal-doc-2.5.0-r0.apk | 2098 | 2025-May-25 23:52 |
ruby-benchmark-ips-2.14.0-r0.apk | 14414 | 2025-May-25 23:55 |
ruby-benchmark-ips-doc-2.14.0-r0.apk | 2055 | 2025-May-25 23:55 |
ruby-build-20250507-r0.apk | 93319 | 2025-May-15 13:21 |
ruby-build-doc-20250507-r0.apk | 4642 | 2025-May-15 13:21 |
ruby-build-runtime-20250507-r0.apk | 1080 | 2025-May-15 13:21 |
ruby-byebug-11.1.3-r1.apk | 132080 | 2025-Aug-03 13:49 |
ruby-coderay-1.1.3-r0.apk | 90942 | 2025-May-25 23:57 |
ruby-coderay-doc-1.1.3-r0.apk | 2073 | 2025-May-25 23:57 |
ruby-dry-inflector-1.1.0-r1.apk | 8174 | 2025-Apr-23 20:24 |
ruby-dry-inflector-doc-1.1.0-r1.apk | 2048 | 2025-Apr-23 20:24 |
ruby-event_emitter-0.2.6-r0.apk | 2887 | 2025-May-26 00:00 |
ruby-event_emitter-doc-0.2.6-r0.apk | 2056 | 2025-May-26 00:00 |
ruby-facter-4.10.0-r0.apk | 223060 | 2025-Jul-28 02:05 |
ruby-fast_gettext-3.1.0-r0.apk | 22405 | 2025-May-25 23:59 |
ruby-hashdiff-1.1.1-r1.apk | 8355 | 2025-Apr-23 20:24 |
ruby-hashdiff-doc-1.1.1-r1.apk | 2032 | 2025-Apr-23 20:24 |
ruby-hoe-4.2.3-r0.apk | 28043 | 2025-Jul-28 02:06 |
ruby-libguestfs-1.56.1-r0.apk | 111894 | 2025-Jul-22 22:10 |
ruby-minitest-autotest-1.1.1-r0.apk | 14295 | 2025-Jul-06 19:18 |
ruby-minitest-focus-1.3.1-r0.apk | 5015 | 2025-Jul-06 19:18 |
ruby-minitest-power_assert-0.3.1-r0.apk | 2015 | 2025-Jul-06 19:18 |
ruby-minitest-proveit-1.0.0-r0.apk | 4614 | 2025-Jul-06 19:18 |
ruby-minitest-reporters-1.7.1-r0.apk | 20304 | 2025-Jul-06 19:18 |
ruby-minitest-server-1.0.8-r0.apk | 5254 | 2025-Jul-06 19:18 |
ruby-path_expander-1.1.3-r0.apk | 6305 | 2025-Jul-06 19:18 |
ruby-ruby-progressbar-1.13.0-r0.apk | 25250 | 2025-Jul-06 19:18 |
ruff-lsp-0.0.62-r0.apk | 21517 | 2025-Mar-06 22:31 |
ruff-lsp-pyc-0.0.62-r0.apk | 35558 | 2025-Mar-06 22:31 |
runst-0.1.7-r0.apk | 1693796 | 2024-Oct-25 20:54 |
runst-doc-0.1.7-r0.apk | 7634 | 2024-Oct-25 20:54 |
ruri-3.9.1-r0.apk | 114978 | 2025-Aug-21 12:39 |
ruri-doc-3.9.1-r0.apk | 2020 | 2025-Aug-21 12:39 |
rust-script-0.35.0-r0.apk | 995088 | 2024-Oct-27 16:31 |
rustic-0.9.3-r0.apk | 6551640 | 2024-Oct-25 20:54 |
rustic-bash-completion-0.9.3-r0.apk | 8551 | 2024-Oct-25 20:54 |
rustic-fish-completion-0.9.3-r0.apk | 16805 | 2024-Oct-25 20:54 |
rustic-zsh-completion-0.9.3-r0.apk | 13077 | 2024-Oct-25 20:54 |
rustical-0.8.5-r0.apk | 4681732 | 2025-Aug-19 12:54 |
rustscan-2.3.0-r0.apk | 1432648 | 2024-Oct-25 20:54 |
ruuvi-prometheus-0.1.9-r4.apk | 3353199 | 2025-Aug-08 16:55 |
ruuvi-prometheus-openrc-0.1.9-r4.apk | 1448 | 2025-Aug-08 16:55 |
rvlprog-0.91-r2.apk | 29204 | 2024-Oct-25 20:54 |
ry-0.5.2-r1.apk | 4467 | 2024-Oct-25 20:54 |
ry-bash-completion-0.5.2-r1.apk | 1733 | 2024-Oct-25 20:54 |
ry-zsh-completion-0.5.2-r1.apk | 2044 | 2024-Oct-25 20:54 |
rygel-0.44.2-r1.apk | 835411 | 2025-Aug-09 12:15 |
rygel-dev-0.44.2-r1.apk | 43412 | 2025-Aug-09 12:15 |
rygel-doc-0.44.2-r1.apk | 9636 | 2025-Aug-09 12:15 |
rygel-lang-0.44.2-r1.apk | 580519 | 2025-Aug-09 12:15 |
s-dkim-sign-0.6.2-r0.apk | 63730 | 2024-Oct-25 20:54 |
s-dkim-sign-doc-0.6.2-r0.apk | 8462 | 2024-Oct-25 20:54 |
s-postgray-0.8.3-r0.apk | 51408 | 2024-Oct-25 20:54 |
s-postgray-doc-0.8.3-r0.apk | 9547 | 2024-Oct-25 20:54 |
s5cmd-2.3.0-r5.apk | 5017211 | 2025-Aug-08 16:55 |
saait-0.8-r0.apk | 7538 | 2024-Oct-25 20:54 |
saait-doc-0.8-r0.apk | 12744 | 2024-Oct-25 20:54 |
sacc-1.07-r0.apk | 16100 | 2024-Oct-25 20:54 |
sacc-doc-1.07-r0.apk | 2669 | 2024-Oct-25 20:54 |
sandbar-0.1-r1.apk | 15354 | 2025-May-14 18:20 |
satellite-1.0.0-r30.apk | 2198164 | 2025-Aug-08 16:55 |
satellite-doc-1.0.0-r30.apk | 2825 | 2025-Aug-08 16:55 |
satellite-openrc-1.0.0-r30.apk | 1679 | 2025-Aug-08 16:55 |
sauerbraten-2020.12.29-r4.apk | 979891783 | 2025-Feb-20 18:32 |
sbase-0_git20210730-r3.apk | 128996 | 2024-Oct-25 20:56 |
sbase-doc-0_git20210730-r3.apk | 59375 | 2024-Oct-25 20:56 |
sblg-0.5.11-r0.apk | 41395 | 2024-Oct-25 20:56 |
sblg-doc-0.5.11-r0.apk | 1410081 | 2024-Oct-25 20:56 |
sblim-sfcc-2.2.8-r3.apk | 57975 | 2024-Oct-25 20:56 |
sblim-sfcc-dev-2.2.8-r3.apk | 22529 | 2024-Oct-25 20:56 |
sblim-sfcc-doc-2.2.8-r3.apk | 35143 | 2024-Oct-25 20:56 |
sblim-wbemcli-1.6.3-r1.apk | 102174 | 2024-Oct-25 20:56 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4369 | 2024-Oct-25 20:56 |
sc-controller-0.5.1-r0.apk | 1330485 | 2025-Mar-26 22:43 |
sc-controller-pyc-0.5.1-r0.apk | 832617 | 2025-Mar-26 22:43 |
scalingo-1.30.0-r12.apk | 5388216 | 2025-Aug-08 16:55 |
scap-workbench-1.2.1-r3.apk | 240888 | 2024-Oct-25 20:56 |
scap-workbench-doc-1.2.1-r3.apk | 1663974 | 2024-Oct-25 20:56 |
schismtracker-20231029-r0.apk | 402250 | 2024-Oct-25 20:56 |
schismtracker-doc-20231029-r0.apk | 6125 | 2024-Oct-25 20:56 |
scooper-1.3-r1.apk | 543254 | 2024-Oct-25 20:56 |
scooper-doc-1.3-r1.apk | 2382 | 2024-Oct-25 20:56 |
screenkey-1.5-r7.apk | 77928 | 2025-Jul-22 20:46 |
screenkey-doc-1.5-r7.apk | 10970 | 2025-Jul-22 20:46 |
screenkey-pyc-1.5-r7.apk | 74632 | 2025-Jul-22 20:46 |
sct-2018.12.18-r1.apk | 4273 | 2024-Oct-25 20:56 |
sdl3_image-3.2.4-r0.apk | 81593 | 2025-Mar-24 20:40 |
sdl3_image-dev-3.2.4-r0.apk | 12076 | 2025-Mar-24 20:40 |
sdl3_image-doc-3.2.4-r0.apk | 1849 | 2025-Mar-24 20:40 |
sdparm-1.12-r1.apk | 151254 | 2024-Oct-25 20:56 |
sdparm-doc-1.12-r1.apk | 19522 | 2024-Oct-25 20:56 |
secsipidx-1.3.2-r14.apk | 2750053 | 2025-Aug-08 16:55 |
secsipidx-dev-1.3.2-r14.apk | 5863746 | 2025-Aug-08 16:55 |
secsipidx-libs-1.3.2-r14.apk | 2448819 | 2025-Aug-08 16:55 |
sedutil-1.15.1-r1.apk | 188153 | 2024-Oct-25 20:56 |
sedutil-doc-1.15.1-r1.apk | 2871 | 2024-Oct-25 20:56 |
seed7-05.20240322-r0.apk | 10323134 | 2024-Oct-25 20:56 |
seed7-doc-05.20240322-r0.apk | 1679718 | 2024-Oct-25 20:56 |
seed7-nano-05.20240322-r0.apk | 2266 | 2024-Oct-25 20:56 |
seed7-vim-05.20240322-r0.apk | 3847 | 2024-Oct-25 20:56 |
sentinel-proxy-2.1.0-r1.apk | 46062 | 2025-Jun-13 14:15 |
sentinel-proxy-dev-2.1.0-r1.apk | 4402 | 2025-Jun-13 14:15 |
sentinel-proxy-openrc-2.1.0-r1.apk | 2033 | 2025-Jun-13 14:15 |
serialdv-1.1.4-r1.apk | 7012 | 2025-Feb-08 23:41 |
serialdv-dev-1.1.4-r1.apk | 4996 | 2025-Feb-08 23:41 |
serialdv-libs-1.1.4-r1.apk | 73802 | 2025-Feb-08 23:41 |
serie-0.5.0-r0.apk | 934128 | 2025-Aug-29 18:59 |
serie-doc-0.5.0-r0.apk | 7797 | 2025-Aug-29 18:59 |
setroot-2.0.2-r1.apk | 12350 | 2024-Oct-25 20:56 |
setroot-doc-2.0.2-r1.apk | 4286 | 2024-Oct-25 20:56 |
sflowtool-6.02-r0.apk | 41531 | 2024-Oct-25 20:56 |
sflowtool-doc-6.02-r0.apk | 9313 | 2024-Oct-25 20:56 |
sfwbar-1.0_beta161-r0.apk | 307547 | 2025-Jul-31 13:29 |
sfwbar-doc-1.0_beta161-r0.apk | 26875 | 2025-Jul-31 13:29 |
sgt-puzzles-0_git20230310-r2.apk | 2899042 | 2024-Oct-25 20:56 |
shadowsocks-libev-3.3.5-r4.apk | 224042 | 2024-Oct-25 20:56 |
shadowsocks-libev-dev-3.3.5-r4.apk | 3284 | 2024-Oct-25 20:56 |
shadowsocks-libev-doc-3.3.5-r4.apk | 28397 | 2024-Oct-25 20:56 |
shc-4.0.3-r2.apk | 17382 | 2024-Oct-25 20:56 |
shellinabox-2.21-r3.apk | 121458 | 2024-Oct-25 20:56 |
shellinabox-doc-2.21-r3.apk | 19555 | 2024-Oct-25 20:56 |
shellinabox-openrc-2.21-r3.apk | 3340 | 2024-Oct-25 20:56 |
shfm-0.4.2-r1.apk | 3871 | 2024-Oct-25 20:56 |
shfm-doc-0.4.2-r1.apk | 6019 | 2024-Oct-25 20:56 |
shine-3.1.1-r0.apk | 67360 | 2024-Oct-25 20:56 |
shipments-0.3.0-r0.apk | 24034 | 2024-Oct-25 20:56 |
shntool-3.0.10-r5.apk | 60574 | 2025-Feb-24 21:25 |
shntool-doc-3.0.10-r5.apk | 10252 | 2025-Feb-24 21:25 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1584 | 2024-Oct-25 20:56 |
sigma-0.23.1-r1.apk | 242193 | 2024-Oct-25 20:56 |
sigma-pyc-0.23.1-r1.apk | 347913 | 2024-Oct-25 20:56 |
sigrok-cli-0.7.2-r0.apk | 40472 | 2024-Oct-25 20:56 |
sigrok-cli-doc-0.7.2-r0.apk | 8185 | 2024-Oct-25 20:56 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13386 | 2024-Oct-25 20:56 |
silc-client-1.1.11-r18.apk | 940219 | 2025-Jun-30 09:24 |
silc-client-doc-1.1.11-r18.apk | 83822 | 2025-Jun-30 09:24 |
simavr-1.7-r1.apk | 106732 | 2024-Oct-25 20:56 |
simavr-dev-1.7-r1.apk | 826265 | 2024-Oct-25 20:56 |
simgear-2024.1.1-r0.apk | 2371650 | 2025-Mar-05 00:21 |
simgear-dev-2024.1.1-r0.apk | 413512 | 2025-Mar-05 00:21 |
simh-3.11.1-r1.apk | 3813830 | 2024-Oct-25 20:56 |
simp1e-cursors-0_git20250312-r0.apk | 457133 | 2025-Mar-13 12:28 |
simp1e-cursors-adw-0_git20250312-r0.apk | 488998 | 2025-Mar-13 12:28 |
simp1e-cursors-adw-dark-0_git20250312-r0.apk | 493295 | 2025-Mar-13 12:28 |
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 496345 | 2025-Mar-13 12:28 |
simp1e-cursors-adw-left-0_git20250312-r0.apk | 494336 | 2025-Mar-13 12:28 |
simp1e-cursors-breeze-0_git20250312-r0.apk | 516079 | 2025-Mar-13 12:28 |
simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 532182 | 2025-Mar-13 12:28 |
simp1e-cursors-breeze-dark-left-0_git20250312-r0..> | 538674 | 2025-Mar-13 12:28 |
simp1e-cursors-breeze-left-0_git20250312-r0.apk | 521270 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-frappe-0_git20250312-r..> | 547596 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-frappe-left-0_git20250..> | 560326 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-latte-0_git20250312-r0..> | 539954 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-latte-left-0_git202503..> | 549971 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-macchiato-0_git2025031..> | 545172 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-macchiato-left-0_git20..> | 557238 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-mocha-0_git20250312-r0..> | 542339 | 2025-Mar-13 12:28 |
simp1e-cursors-catppuccin-mocha-left-0_git202503..> | 552624 | 2025-Mar-13 12:28 |
simp1e-cursors-dark-0_git20250312-r0.apk | 495261 | 2025-Mar-13 12:28 |
simp1e-cursors-dark-left-0_git20250312-r0.apk | 501043 | 2025-Mar-13 12:28 |
simp1e-cursors-doc-0_git20250312-r0.apk | 13895 | 2025-Mar-13 12:28 |
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 537317 | 2025-Mar-13 12:28 |
simp1e-cursors-gruvbox-dark-left-0_git20250312-r..> | 549573 | 2025-Mar-13 12:28 |
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 529257 | 2025-Mar-13 12:28 |
simp1e-cursors-gruvbox-light-left-0_git20250312-..> | 538973 | 2025-Mar-13 12:28 |
simp1e-cursors-left-0_git20250312-r0.apk | 459001 | 2025-Mar-13 12:28 |
simp1e-cursors-mix-dark-0_git20250312-r0.apk | 498144 | 2025-Mar-13 12:28 |
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 503729 | 2025-Mar-13 12:28 |
simp1e-cursors-mix-light-0_git20250312-r0.apk | 460066 | 2025-Mar-13 12:28 |
simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 462000 | 2025-Mar-13 12:28 |
simp1e-cursors-nord-dark-0_git20250312-r0.apk | 548214 | 2025-Mar-13 12:28 |
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 561457 | 2025-Mar-13 12:28 |
simp1e-cursors-nord-light-0_git20250312-r0.apk | 530039 | 2025-Mar-13 12:28 |
simp1e-cursors-nord-light-left-0_git20250312-r0...> | 537010 | 2025-Mar-13 12:28 |
simp1e-cursors-rose-pine-0_git20250312-r0.apk | 546020 | 2025-Mar-13 12:28 |
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 551057 | 2025-Mar-13 12:28 |
simp1e-cursors-rose-pine-dawn-left-0_git20250312..> | 563540 | 2025-Mar-13 12:28 |
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 557943 | 2025-Mar-13 12:28 |
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 550535 | 2025-Mar-13 12:28 |
simp1e-cursors-rose-pine-moon-left-0_git20250312..> | 563123 | 2025-Mar-13 12:28 |
simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 535999 | 2025-Mar-13 12:28 |
simp1e-cursors-solarized-dark-left-0_git20250312..> | 547582 | 2025-Mar-13 12:28 |
simp1e-cursors-solarized-light-0_git20250312-r0...> | 543544 | 2025-Mar-13 12:28 |
simp1e-cursors-solarized-light-left-0_git2025031..> | 554785 | 2025-Mar-13 12:28 |
simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 542367 | 2025-Mar-13 12:28 |
simp1e-cursors-tokyo-night-left-0_git20250312-r0..> | 553667 | 2025-Mar-13 12:28 |
simp1e-cursors-tokyo-night-light-0_git20250312-r..> | 532051 | 2025-Mar-13 12:28 |
simp1e-cursors-tokyo-night-light-left-0_git20250..> | 539279 | 2025-Mar-13 12:28 |
simp1e-cursors-tokyo-night-storm-0_git20250312-r..> | 546476 | 2025-Mar-13 12:28 |
simp1e-cursors-tokyo-night-storm-left-0_git20250..> | 558464 | 2025-Mar-13 12:28 |
simp1e-cursors-zenburn-0_git20250312-r0.apk | 541694 | 2025-Mar-13 12:28 |
simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 552851 | 2025-Mar-13 12:28 |
simpleble-0.10.3-r0.apk | 994 | 2025-Jul-11 02:59 |
simpleble-dev-0.10.3-r0.apk | 28332 | 2025-Jul-11 02:59 |
singular-4.4.1_p2-r0.apk | 11993692 | 2025-Jul-02 17:26 |
singular-dev-4.4.1_p2-r0.apk | 367449 | 2025-Jul-02 17:26 |
singular-doc-4.4.1_p2-r0.apk | 1415299 | 2025-Jul-02 17:26 |
singular-emacs-4.4.1_p2-r0.apk | 103744 | 2025-Jul-02 17:26 |
singular-static-4.4.1_p2-r0.apk | 7294079 | 2025-Jul-02 17:26 |
sipexer-1.2.0-r5.apk | 2802579 | 2025-Aug-08 16:55 |
sipgrep-2.2.0-r1.apk | 26996 | 2024-Oct-25 20:56 |
sish-2.16.1-r7.apk | 8116484 | 2025-Aug-08 16:55 |
sish-openrc-2.16.1-r7.apk | 1690 | 2025-Aug-08 16:55 |
slidge-0.1.3-r0.apk | 147817 | 2024-Oct-25 20:56 |
slidge-doc-0.1.3-r0.apk | 4383 | 2024-Oct-25 20:56 |
slidge-matridge-0.1.0-r0.apk | 30136 | 2024-Oct-25 20:56 |
slidge-matridge-openrc-0.1.0-r0.apk | 1281 | 2024-Oct-25 20:56 |
slidge-matridge-pyc-0.1.0-r0.apk | 38565 | 2024-Oct-25 20:56 |
slidge-openrc-0.1.3-r0.apk | 2105 | 2024-Oct-25 20:56 |
slidge-pyc-0.1.3-r0.apk | 291581 | 2024-Oct-25 20:56 |
sloccount-2.26-r3.apk | 73404 | 2024-Oct-25 20:56 |
sloccount-doc-2.26-r3.apk | 60793 | 2024-Oct-25 20:56 |
slurm-0.4.4-r0.apk | 14947 | 2024-Oct-25 20:56 |
slurm-doc-0.4.4-r0.apk | 2316 | 2024-Oct-25 20:56 |
smassh-3.1.6-r0.apk | 73876 | 2024-Nov-21 02:57 |
smassh-pyc-3.1.6-r0.apk | 71656 | 2024-Nov-21 02:57 |
smile-2.10.1-r0.apk | 737612 | 2025-Apr-09 20:54 |
smile-lang-2.10.1-r0.apk | 25452 | 2025-Apr-09 20:54 |
smplxmpp-0.9.3-r4.apk | 160224 | 2024-Dec-18 12:23 |
smplxmpp-doc-0.9.3-r4.apk | 25102 | 2024-Dec-18 12:23 |
snapper-0.12.2-r0.apk | 1103531 | 2025-May-06 12:29 |
snapper-bash-completion-0.12.2-r0.apk | 2920 | 2025-May-06 12:29 |
snapper-dev-0.12.2-r0.apk | 10379 | 2025-May-06 12:29 |
snapper-doc-0.12.2-r0.apk | 25312 | 2025-May-06 12:29 |
snapper-lang-0.12.2-r0.apk | 216764 | 2025-May-06 12:29 |
snapper-zsh-completion-0.12.2-r0.apk | 3391 | 2025-May-06 12:29 |
snapraid-12.4-r0.apk | 266954 | 2025-May-14 17:30 |
snapraid-doc-12.4-r0.apk | 17442 | 2025-May-14 17:30 |
sndfile-tools-1.5-r1.apk | 50357 | 2024-Oct-25 20:56 |
sndfile-tools-doc-1.5-r1.apk | 369390 | 2024-Oct-25 20:56 |
snikket-sdk-0_git20250612-r1.apk | 3560210 | 2025-Aug-20 07:20 |
snippets-ls-0.0.4_git20240617-r7.apk | 1374957 | 2025-Aug-08 16:55 |
snore-0.3.1-r0.apk | 4723 | 2024-Oct-25 20:56 |
snore-doc-0.3.1-r0.apk | 2878 | 2024-Oct-25 20:56 |
so-0.4.10-r0.apk | 2215372 | 2024-Oct-25 20:56 |
soapy-bladerf-0.4.2-r0.apk | 50126 | 2024-Dec-31 01:44 |
soapy-hackrf-0.3.4-r2.apk | 30410 | 2024-Oct-25 20:56 |
soapy-sdr-remote-0.5.2-r1.apk | 209171 | 2024-Oct-25 20:56 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2163 | 2024-Oct-25 20:56 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1490 | 2024-Oct-25 20:56 |
solanum-6.0.0-r0.apk | 282069 | 2025-Apr-10 13:01 |
solanum-lang-6.0.0-r0.apk | 48195 | 2025-Apr-10 13:01 |
somebar-1.0.3-r0.apk | 49828 | 2024-Oct-25 20:56 |
somebar-doc-1.0.3-r0.apk | 2209 | 2024-Oct-25 20:56 |
sonicradio-0.7.1-r1.apk | 3074147 | 2025-Aug-08 16:55 |
sopwith-2.5.0-r0.apk | 52476 | 2024-Oct-25 20:56 |
sopwith-doc-2.5.0-r0.apk | 14962 | 2024-Oct-25 20:56 |
sos-0.8-r33.apk | 2742142 | 2025-Aug-08 16:55 |
soundconverter-4.1.1-r0.apk | 168201 | 2025-Jul-29 13:13 |
soundconverter-doc-4.1.1-r0.apk | 4567 | 2025-Jul-29 13:13 |
soundconverter-lang-4.1.1-r0.apk | 109204 | 2025-Jul-29 13:13 |
soundconverter-pyc-4.1.1-r0.apk | 78210 | 2025-Jul-29 13:13 |
spacectl-1.12.0-r3.apk | 5738271 | 2025-Aug-08 16:55 |
spacectl-bash-completion-1.12.0-r3.apk | 1835 | 2025-Aug-08 16:55 |
spacectl-doc-1.12.0-r3.apk | 2076 | 2025-Aug-08 16:55 |
spacectl-fish-completion-1.12.0-r3.apk | 6959 | 2025-Aug-08 16:55 |
spacectl-zsh-completion-1.12.0-r3.apk | 1588 | 2025-Aug-08 16:55 |
spacenavd-1.2-r0.apk | 34371 | 2024-Oct-25 20:56 |
spacer-0.5.0-r0.apk | 1009510 | 2025-Aug-31 02:53 |
spacer-doc-0.5.0-r0.apk | 2881 | 2025-Aug-31 02:53 |
spampd-2.62-r0.apk | 39179 | 2025-Feb-25 22:32 |
spampd-openrc-2.62-r0.apk | 1760 | 2025-Feb-25 22:32 |
spark-2.8.3-r1.apk | 30331616 | 2024-Oct-25 20:56 |
speedcrunch-0.12-r3.apk | 1213223 | 2024-Oct-25 20:56 |
speedtest-5.2.5-r1.apk | 259296 | 2024-Oct-25 20:56 |
speedtest-doc-5.2.5-r1.apk | 18577 | 2024-Oct-25 20:56 |
speedtest-examples-5.2.5-r1.apk | 13013 | 2024-Oct-25 20:56 |
speedtest-go-1.1.5-r17.apk | 5505134 | 2025-Aug-08 16:55 |
speedtest-go-doc-1.1.5-r17.apk | 4348 | 2025-Aug-08 16:55 |
speedtest-go-openrc-1.1.5-r17.apk | 1510 | 2025-Aug-08 16:55 |
speedtest_exporter-0.3.2-r17.apk | 3912711 | 2025-Aug-08 16:55 |
speedtest_exporter-openrc-0.3.2-r17.apk | 1633 | 2025-Aug-08 16:55 |
spice-html5-0.3.0-r1.apk | 448979 | 2024-Oct-25 20:56 |
spike-1.1.0-r0.apk | 1604161 | 2024-Oct-25 20:56 |
spin-6.5.2-r1.apk | 377228 | 2024-Oct-25 20:56 |
spin-doc-6.5.2-r1.apk | 5760 | 2024-Oct-25 20:56 |
spiped-1.6.2-r1.apk | 73197 | 2024-Oct-25 20:56 |
spiritvnc-0.6.5-r0.apk | 49670 | 2024-Nov-03 05:10 |
spnavcfg-1.1-r0.apk | 37452 | 2024-Oct-25 20:56 |
spotify-player-0.20.4-r0.apk | 4699151 | 2025-Mar-02 16:49 |
spotify-tui-0.25.0-r2.apk | 2020378 | 2024-Oct-25 20:56 |
spread-sheet-widget-0.10-r0.apk | 49163 | 2024-Oct-25 20:56 |
spread-sheet-widget-dbg-0.10-r0.apk | 195863 | 2024-Oct-25 20:56 |
spread-sheet-widget-dev-0.10-r0.apk | 367104 | 2024-Oct-25 20:56 |
spread-sheet-widget-doc-0.10-r0.apk | 4484 | 2024-Oct-25 20:56 |
spreadtrum_flash-1.20240815-r0.apk | 44395 | 2025-May-08 12:40 |
spvm-errno-0.093-r1.apk | 17997 | 2025-Jun-30 09:24 |
spvm-errno-doc-0.093-r1.apk | 5885 | 2025-Jun-30 09:24 |
spvm-math-1.006-r1.apk | 21558 | 2025-Jun-30 09:24 |
spvm-math-doc-1.006-r1.apk | 6837 | 2025-Jun-30 09:24 |
spvm-mime-base64-1.003-r1.apk | 18635 | 2025-Jun-30 09:24 |
spvm-mime-base64-doc-1.003-r1.apk | 5345 | 2025-Jun-30 09:24 |
spvm-thread-0.003-r1.apk | 15462 | 2025-Jun-30 09:24 |
spvm-thread-doc-0.003-r1.apk | 5845 | 2025-Jun-30 09:24 |
sq-0.48.5-r7.apk | 10289909 | 2025-Aug-08 16:56 |
sq-bash-completion-0.48.5-r7.apk | 4895 | 2025-Aug-08 16:56 |
sq-doc-0.48.5-r7.apk | 5757 | 2025-Aug-08 16:56 |
sq-fish-completion-0.48.5-r7.apk | 4128 | 2025-Aug-08 16:56 |
sq-zsh-completion-0.48.5-r7.apk | 3849 | 2025-Aug-08 16:56 |
sqlar-0_git20180107-r1.apk | 14474 | 2024-Oct-25 20:56 |
sqlar-doc-0_git20180107-r1.apk | 3119 | 2024-Oct-25 20:56 |
sqliteodbc-0.99991-r0.apk | 95256 | 2024-Oct-25 20:56 |
sqlmap-1.9.8-r0.apk | 7101657 | 2025-Aug-24 08:07 |
sqlmap-pyc-1.9.8-r0.apk | 1223193 | 2025-Aug-24 08:07 |
sqm-scripts-1.6.0-r0.apk | 20696 | 2024-Oct-25 20:56 |
sqruff-0.25.26-r0.apk | 2420586 | 2025-Apr-13 20:33 |
sqruff-doc-0.25.26-r0.apk | 8586 | 2025-Apr-13 20:33 |
srain-1.8.1-r0.apk | 167061 | 2025-Jun-26 18:43 |
srain-lang-1.8.1-r0.apk | 35686 | 2025-Jun-26 18:43 |
srb2-2.2.15-r1.apk | 1961804 | 2025-Apr-10 20:33 |
srb2-data-2.2.15-r1.apk | 167342540 | 2025-Apr-10 20:33 |
sregex-0.0.1-r1.apk | 25279 | 2024-Oct-25 20:56 |
sregex-dev-0.0.1-r1.apk | 29778 | 2024-Oct-25 20:56 |
ssdfs-tools-4.09-r0.apk | 99503 | 2024-Oct-25 20:56 |
ssdfs-tools-dev-4.09-r0.apk | 18634 | 2024-Oct-25 20:56 |
ssh-cert-authority-2.0.0-r28.apk | 4950682 | 2025-Aug-08 16:56 |
ssh-honeypot-0.1.1-r1.apk | 8976 | 2024-Oct-25 20:56 |
ssh-honeypot-openrc-0.1.1-r1.apk | 1835 | 2024-Oct-25 20:56 |
ssh-tools-1.8-r0.apk | 25945 | 2024-Oct-25 20:56 |
sshs-4.7.2-r0.apk | 806192 | 2025-Apr-23 22:31 |
sshsrv-1.0-r14.apk | 1000911 | 2025-Aug-08 16:56 |
sshuttle-1.1.2-r0.apk | 63476 | 2024-Oct-25 20:56 |
sshuttle-doc-1.1.2-r0.apk | 8415 | 2024-Oct-25 20:56 |
sshuttle-pyc-1.1.2-r0.apk | 102850 | 2024-Oct-25 20:56 |
sssd-2.11.1-r1.apk | 2233394 | 2025-Aug-09 12:15 |
sssd-dev-2.11.1-r1.apk | 14887 | 2025-Aug-09 12:15 |
sssd-openrc-2.11.1-r1.apk | 1453 | 2025-Aug-09 12:15 |
ssss-0.5.7-r0.apk | 14491 | 2024-Oct-25 20:56 |
ssss-doc-0.5.7-r0.apk | 3420 | 2024-Oct-25 20:56 |
sstp-client-1.0.20-r2.apk | 42710 | 2025-May-30 10:28 |
sstp-client-dev-1.0.20-r2.apk | 5355 | 2025-May-30 10:28 |
sstp-client-doc-1.0.20-r2.apk | 4712 | 2025-May-30 10:28 |
stacker-1.0.0-r7.apk | 24024687 | 2025-Aug-08 16:56 |
stacker-doc-1.0.0-r7.apk | 14877 | 2025-Aug-08 16:56 |
stalwart-cli-0.13.2-r0.apk | 3429207 | 2025-Jul-30 17:06 |
stalwart-mail-0.13.2-r0.apk | 16990217 | 2025-Jul-30 17:06 |
stalwart-mail-openrc-0.13.2-r0.apk | 1851 | 2025-Jul-30 17:06 |
starfighter-2.4-r0.apk | 50192380 | 2024-Oct-25 20:56 |
starfighter-doc-2.4-r0.apk | 21848 | 2024-Oct-25 20:56 |
startup-2.0.3-r5.apk | 413076 | 2024-Oct-25 20:56 |
startup-bridge-dconf-2.0.3-r5.apk | 29916 | 2024-Oct-25 20:56 |
startup-bridge-udev-2.0.3-r5.apk | 29742 | 2024-Oct-25 20:56 |
startup-dev-2.0.3-r5.apk | 5721 | 2024-Oct-25 20:56 |
startup-doc-2.0.3-r5.apk | 48468 | 2024-Oct-25 20:56 |
startup-fish-completion-2.0.3-r5.apk | 5247 | 2024-Oct-25 20:56 |
startup-lang-2.0.3-r5.apk | 16679 | 2024-Oct-25 20:56 |
startup-tools-2.0.3-r5.apk | 13366 | 2024-Oct-25 20:56 |
stayrtr-0.6.2-r6.apk | 10658398 | 2025-Aug-08 16:56 |
stayrtr-openrc-0.6.2-r6.apk | 1759 | 2025-Aug-08 16:56 |
steghide-0.5.1.1-r0.apk | 160812 | 2024-Oct-25 20:56 |
steghide-doc-0.5.1.1-r0.apk | 13784 | 2024-Oct-25 20:56 |
stern-1.32.0-r6.apk | 17931231 | 2025-Aug-08 16:56 |
stern-bash-completion-1.32.0-r6.apk | 5712 | 2025-Aug-08 16:56 |
stern-fish-completion-1.32.0-r6.apk | 4159 | 2025-Aug-08 16:56 |
stern-zsh-completion-1.32.0-r6.apk | 3875 | 2025-Aug-08 16:56 |
stgit-2.4.7-r1.apk | 2113929 | 2024-Oct-25 20:56 |
stgit-bash-completion-2.4.7-r1.apk | 17163 | 2024-Oct-25 20:56 |
stgit-doc-2.4.7-r1.apk | 128996 | 2024-Oct-25 20:56 |
stgit-emacs-2.4.7-r1.apk | 27952 | 2024-Oct-25 20:56 |
stgit-fish-completion-2.4.7-r1.apk | 11722 | 2024-Oct-25 20:56 |
stgit-vim-2.4.7-r1.apk | 3276 | 2024-Oct-25 20:56 |
stgit-zsh-completion-2.4.7-r1.apk | 23877 | 2024-Oct-25 20:56 |
sthttpd-2.27.1-r2.apk | 65542 | 2024-Oct-25 20:56 |
sthttpd-doc-2.27.1-r2.apk | 18396 | 2024-Oct-25 20:56 |
sthttpd-openrc-2.27.1-r2.apk | 1731 | 2024-Oct-25 20:56 |
stockfish-17-r0.apk | 64810174 | 2025-Mar-03 22:44 |
stone-soup-0.32.1-r0.apk | 34448331 | 2024-Oct-25 20:57 |
stw-0.3-r0.apk | 8151 | 2024-Oct-25 20:57 |
stw-doc-0.3-r0.apk | 2322 | 2024-Oct-25 20:57 |
subliminal-2.2.1-r1.apk | 69802 | 2025-May-14 18:20 |
subliminal-pyc-2.2.1-r1.apk | 137619 | 2025-May-14 18:20 |
sudo-ldap-1.9.17_p1-r0.apk | 762942 | 2025-Jul-01 18:58 |
supermin-5.2.2-r2.apk | 549287 | 2024-Oct-25 20:57 |
supermin-doc-5.2.2-r2.apk | 9322 | 2024-Oct-25 20:57 |
supersonik-0.1.0-r2.apk | 1103109 | 2025-Apr-13 13:35 |
surf-2.1-r3.apk | 24010 | 2024-Oct-25 20:57 |
surf-doc-2.1-r3.apk | 4479 | 2024-Oct-25 20:57 |
surfraw-2.3.0-r0.apk | 80353 | 2024-Oct-25 20:57 |
surfraw-doc-2.3.0-r0.apk | 17861 | 2024-Oct-25 20:57 |
suru-icon-theme-2025.05.0-r0.apk | 3011830 | 2025-May-14 17:30 |
svgbob-0.7.6-r0.apk | 511079 | 2025-Feb-01 19:56 |
svls-0.2.12-r0.apk | 3909957 | 2024-Oct-25 20:57 |
svls-doc-0.2.12-r0.apk | 2016 | 2024-Oct-25 20:57 |
swaks-20240103.0-r0.apk | 67408 | 2024-Oct-25 20:57 |
swaks-doc-20240103.0-r0.apk | 50568 | 2024-Oct-25 20:57 |
swappy-1.7.1-r0.apk | 30992 | 2025-Aug-21 23:30 |
swappy-doc-1.7.1-r0.apk | 3639 | 2025-Aug-21 23:30 |
swappy-lang-1.7.1-r0.apk | 3620 | 2025-Aug-21 23:30 |
sway-audio-idle-inhibit-0.1.2-r0.apk | 10740 | 2024-Oct-25 20:57 |
swayhide-0.2.1-r2.apk | 285712 | 2024-Oct-25 20:57 |
swhkd-1.2.1-r0.apk | 1179543 | 2024-Oct-25 20:57 |
swhkd-doc-1.2.1-r0.apk | 6054 | 2024-Oct-25 20:57 |
swi-prolog-9.2.9-r0.apk | 5308525 | 2024-Dec-21 10:09 |
swi-prolog-doc-9.2.9-r0.apk | 2162363 | 2024-Dec-21 10:09 |
swi-prolog-pyc-9.2.9-r0.apk | 22724 | 2024-Dec-21 10:09 |
swi-prolog-xpce-9.2.9-r0.apk | 943636 | 2024-Dec-21 10:09 |
swi-prolog-xpce-doc-9.2.9-r0.apk | 1094465 | 2024-Dec-21 10:09 |
sxcs-1.1.0-r0.apk | 9428 | 2024-Oct-25 20:57 |
sxcs-doc-1.1.0-r0.apk | 2434 | 2024-Oct-25 20:57 |
sydbox-3.21.3-r0.apk | 1542465 | 2024-Oct-25 20:57 |
sydbox-doc-3.21.3-r0.apk | 85645 | 2024-Oct-25 20:57 |
sydbox-oci-3.21.3-r0.apk | 2023776 | 2024-Oct-25 20:57 |
sydbox-utils-3.21.3-r0.apk | 6998013 | 2024-Oct-25 20:57 |
sydbox-vim-3.21.3-r0.apk | 5086 | 2024-Oct-25 20:57 |
sylpheed-imap-notify-1.1.0-r2.apk | 8833 | 2024-Oct-25 20:57 |
symbiyosys-0.36-r0.apk | 38211 | 2024-Oct-25 20:57 |
symengine-0.12.0-r0.apk | 3443049 | 2024-Oct-25 20:57 |
symlinks-1.4.3-r0.apk | 6419 | 2025-Apr-21 21:27 |
symlinks-doc-1.4.3-r0.apk | 3677 | 2025-Apr-21 21:27 |
sympow-2.023.7-r2.apk | 1882653 | 2024-Oct-25 20:57 |
sympow-doc-2.023.7-r2.apk | 2891 | 2024-Oct-25 20:57 |
synapse-bt-1.0-r4.apk | 1175359 | 2024-Oct-25 20:57 |
synapse-bt-cli-1.0-r4.apk | 1080821 | 2024-Oct-25 20:57 |
synapse-bt-openrc-1.0-r4.apk | 1593 | 2024-Oct-25 20:57 |
syncthing-gtk-0.9.4.5-r2.apk | 450243 | 2024-Oct-25 20:57 |
syncthing-gtk-doc-0.9.4.5-r2.apk | 1988 | 2024-Oct-25 20:57 |
syncthing-gtk-pyc-0.9.4.5-r2.apk | 225626 | 2024-Oct-25 20:57 |
t2sz-1.1.2-r0.apk | 9274 | 2024-Oct-25 20:57 |
tabiew-0.11.0-r0.apk | 14296899 | 2025-Jul-30 08:11 |
tachyon-0.99_beta6-r1.apk | 121362 | 2024-Oct-25 20:57 |
tachyon-scenes-0.99_beta6-r1.apk | 2026792 | 2024-Oct-25 20:57 |
tailspin-5.4.2-r0.apk | 1283710 | 2025-May-14 17:30 |
tailspin-bash-completion-5.4.2-r0.apk | 2016 | 2025-May-14 17:30 |
tailspin-doc-5.4.2-r0.apk | 2819 | 2025-May-14 17:30 |
tailspin-fish-completion-5.4.2-r0.apk | 1905 | 2025-May-14 17:30 |
tailspin-zsh-completion-5.4.2-r0.apk | 2258 | 2025-May-14 17:30 |
tang-15-r0.apk | 16660 | 2025-Jan-20 04:17 |
tang-dbg-15-r0.apk | 31122 | 2025-Jan-20 04:17 |
tang-doc-15-r0.apk | 20798 | 2025-Jan-20 04:17 |
tang-openrc-15-r0.apk | 1669 | 2025-Jan-20 04:17 |
tangara-companion-0.4.3-r0.apk | 1133744 | 2024-Dec-17 21:32 |
tangctl-0_git20241007-r6.apk | 2614418 | 2025-Aug-08 16:56 |
tanidvr-1.4.1-r2.apk | 22837 | 2025-Mar-21 11:52 |
tanidvr-dhav2mkv-1.4.1-r2.apk | 11343 | 2025-Mar-21 11:52 |
tanka-0.32.0-r2.apk | 4527063 | 2025-Aug-08 16:56 |
tartube-2.5.0-r1.apk | 2874027 | 2024-Oct-25 20:57 |
tartube-pyc-2.5.0-r1.apk | 1123357 | 2024-Oct-25 20:57 |
taskcafe-0.3.6-r15.apk | 13870825 | 2025-Aug-08 16:56 |
taskcafe-openrc-0.3.6-r15.apk | 1598 | 2025-Aug-08 16:56 |
taskwarrior-tui-0.26.3-r0.apk | 1307402 | 2025-Mar-26 10:27 |
taskwarrior-tui-bash-completion-0.26.3-r0.apk | 1763 | 2025-Mar-26 10:27 |
taskwarrior-tui-doc-0.26.3-r0.apk | 3771 | 2025-Mar-26 10:27 |
taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1509 | 2025-Mar-26 10:27 |
tayga-0.9.5-r0.apk | 29653 | 2025-Jun-20 07:59 |
tayga-doc-0.9.5-r0.apk | 5936 | 2025-Jun-20 07:59 |
tcl-curl-7.22.0-r0.apk | 32632 | 2024-Oct-25 20:57 |
tcl-curl-doc-7.22.0-r0.apk | 38292 | 2024-Oct-25 20:57 |
tcl9-9.0.2-r0.apk | 2022221 | 2025-Jul-03 08:16 |
tcl9-dev-9.0.2-r0.apk | 189038 | 2025-Jul-03 08:16 |
tcl9-doc-9.0.2-r0.apk | 1445636 | 2025-Jul-03 08:16 |
tcmu-runner-1.6.0-r6.apk | 92183 | 2024-Oct-25 20:57 |
tcmu-runner-doc-1.6.0-r6.apk | 2307 | 2024-Oct-25 20:57 |
tcmu-runner-rbd-1.6.0-r6.apk | 13495 | 2024-Oct-25 20:57 |
tcpbench-3.00-r0.apk | 13111 | 2025-Jul-28 16:39 |
tcpbench-doc-3.00-r0.apk | 5113 | 2025-Jul-28 16:39 |
tdrop-0.5.0-r0.apk | 12108 | 2024-Oct-25 20:57 |
tdrop-doc-0.5.0-r0.apk | 9066 | 2024-Oct-25 20:57 |
tealdeer-1.7.2-r0.apk | 972626 | 2025-Mar-23 13:21 |
tealdeer-bash-completion-1.7.2-r0.apk | 1745 | 2025-Mar-23 13:21 |
tealdeer-fish-completion-1.7.2-r0.apk | 1994 | 2025-Mar-23 13:21 |
tealdeer-zsh-completion-1.7.2-r0.apk | 2106 | 2025-Mar-23 13:21 |
teapot-tools-0.4.2-r2.apk | 2231930 | 2024-Oct-25 20:57 |
telegram-bot-api-9.1-r0.apk | 8147297 | 2025-Aug-02 10:39 |
telegram-tdlib-1.8.51-r0.apk | 8131706 | 2025-Aug-02 10:28 |
telegram-tdlib-dev-1.8.51-r0.apk | 192945 | 2025-Aug-02 10:28 |
telegram-tdlib-static-1.8.51-r0.apk | 22348306 | 2025-Aug-02 10:28 |
templ-0.3.920-r1.apk | 5288329 | 2025-Aug-08 16:56 |
tempo-2.8.2-r0.apk | 29677199 | 2025-Aug-10 20:55 |
tempo-cli-2.8.2-r0.apk | 21054017 | 2025-Aug-10 20:55 |
tempo-openrc-2.8.2-r0.apk | 1643 | 2025-Aug-10 20:55 |
tempo-query-2.8.2-r0.apk | 6634873 | 2025-Aug-10 20:55 |
tempo-vulture-2.8.2-r0.apk | 13252631 | 2025-Aug-10 20:55 |
tempo-vulture-openrc-2.8.2-r0.apk | 1747 | 2025-Aug-10 20:55 |
tenv-4.7.6-r2.apk | 9586132 | 2025-Aug-08 16:56 |
tenv-bash-completion-4.7.6-r2.apk | 5979 | 2025-Aug-08 16:56 |
tenv-fish-completion-4.7.6-r2.apk | 4163 | 2025-Aug-08 16:56 |
tenv-zsh-completion-4.7.6-r2.apk | 3876 | 2025-Aug-08 16:56 |
tere-1.6.0-r0.apk | 1089930 | 2024-Oct-25 20:57 |
tere-doc-1.6.0-r0.apk | 14056 | 2024-Oct-25 20:57 |
termbox-1.1.2-r1.apk | 12239 | 2024-Oct-25 20:57 |
termbox-dev-1.1.2-r1.apk | 5604 | 2024-Oct-25 20:57 |
termbox-static-1.1.2-r1.apk | 14719 | 2024-Oct-25 20:57 |
termcolor-2.1.0-r0.apk | 1238 | 2024-Oct-25 20:57 |
termcolor-dev-2.1.0-r0.apk | 6732 | 2024-Oct-25 20:57 |
terminalpp-0.8.4-r0.apk | 450805 | 2024-Oct-25 20:57 |
terminalpp-ropen-0.8.4-r0.apk | 60178 | 2024-Oct-25 20:57 |
terminology-1.14.0-r0.apk | 2818107 | 2025-May-27 23:25 |
terminology-doc-1.14.0-r0.apk | 8942 | 2025-May-27 23:25 |
terminology-lang-1.14.0-r0.apk | 146142 | 2025-May-27 23:25 |
termusic-mpv-0.7.11-r0.apk | 6626194 | 2024-Oct-25 20:57 |
texlab-5.23.1-r0.apk | 9263146 | 2025-Aug-22 07:33 |
tfupdate-0.8.2-r8.apk | 4844390 | 2025-Aug-08 16:56 |
tfupdate-doc-0.8.2-r8.apk | 2057 | 2025-Aug-08 16:56 |
thanos-0.31.0-r15.apk | 21027771 | 2025-Aug-08 16:56 |
thanos-openrc-0.31.0-r15.apk | 1728 | 2025-Aug-08 16:56 |
theforceengine-1.09.540-r1.apk | 7340787 | 2024-Oct-25 20:57 |
theforceengine-doc-1.09.540-r1.apk | 6631146 | 2024-Oct-25 20:57 |
thefuck-3.32-r5.apk | 85072 | 2024-Oct-25 20:57 |
thefuck-pyc-3.32-r5.apk | 159195 | 2024-Oct-25 20:57 |
thelounge-4.4.3-r0.apk | 29208333 | 2024-Oct-25 20:57 |
thelounge-doc-4.4.3-r0.apk | 2092 | 2024-Oct-25 20:57 |
thelounge-openrc-4.4.3-r0.apk | 1819 | 2024-Oct-25 20:57 |
theme.sh-1.1.5-r0.apk | 39747 | 2024-Oct-25 20:57 |
theme.sh-doc-1.1.5-r0.apk | 2109 | 2024-Oct-25 20:57 |
throttled-0.10.0-r1.apk | 14641 | 2024-Dec-15 19:50 |
throttled-openrc-0.10.0-r1.apk | 1379 | 2024-Dec-15 19:50 |
throttled-pyc-0.10.0-r1.apk | 28783 | 2024-Dec-15 19:50 |
thumbdrives-0.3.2-r2.apk | 10941 | 2024-Oct-25 20:57 |
thunar-gtkhash-plugin-1.5-r0.apk | 23623 | 2025-Mar-10 19:32 |
thunarx-python-0.5.2-r2.apk | 10312 | 2024-Oct-25 20:57 |
thunarx-python-doc-0.5.2-r2.apk | 25542 | 2024-Oct-25 20:57 |
tick-1.2.2-r0.apk | 10808 | 2025-Jan-14 01:26 |
tick-doc-1.2.2-r0.apk | 5330 | 2025-Jan-14 01:26 |
ticker-4.8.1-r4.apk | 4080396 | 2025-Aug-08 16:56 |
ticker-bash-completion-4.8.1-r4.apk | 4419 | 2025-Aug-08 16:56 |
ticker-fish-completion-4.8.1-r4.apk | 3710 | 2025-Aug-08 16:56 |
ticker-zsh-completion-4.8.1-r4.apk | 3550 | 2025-Aug-08 16:56 |
timeshift-25.07.6-r0.apk | 486118 | 2025-Aug-27 07:13 |
timeshift-doc-25.07.6-r0.apk | 2976 | 2025-Aug-27 07:13 |
timeshift-lang-25.07.6-r0.apk | 949001 | 2025-Aug-27 07:13 |
timew-1.4.3-r1.apk | 274459 | 2024-Oct-25 20:57 |
timew-bash-completion-1.4.3-r1.apk | 2564 | 2024-Oct-25 20:57 |
timew-doc-1.4.3-r1.apk | 54159 | 2024-Oct-25 20:57 |
timewarrior-1.7.1-r0.apk | 283893 | 2024-Oct-25 20:57 |
timewarrior-doc-1.7.1-r0.apk | 22467 | 2024-Oct-25 20:57 |
timoni-0.23.0-r7.apk | 23411591 | 2025-Aug-08 16:56 |
timoni-bash-completion-0.23.0-r7.apk | 7882 | 2025-Aug-08 16:56 |
timoni-doc-0.23.0-r7.apk | 345769 | 2025-Aug-08 16:56 |
timoni-fish-completion-0.23.0-r7.apk | 4157 | 2025-Aug-08 16:56 |
timoni-zsh-completion-0.23.0-r7.apk | 3873 | 2025-Aug-08 16:56 |
tintin-2.02.31-r0.apk | 1905585 | 2024-Oct-25 20:57 |
tinyemu-2019.12.21-r0.apk | 184264 | 2025-May-25 23:37 |
tinygltf-2.9.6-r0.apk | 148675 | 2025-Jun-08 17:01 |
tinygltf-dev-2.9.6-r0.apk | 58264 | 2025-Jun-08 17:01 |
tinymist-0.13.18-r0.apk | 19341138 | 2025-Aug-05 06:07 |
tinyscheme-1.42-r1.apk | 68197 | 2024-Oct-25 20:57 |
tk9-9.0.2-r0.apk | 877033 | 2025-Jul-03 08:16 |
tk9-dev-9.0.2-r0.apk | 83264 | 2025-Jul-03 08:16 |
tk9-doc-9.0.2-r0.apk | 1387503 | 2025-Jul-03 08:16 |
tldr-python-client-3.3.0-r0.apk | 12139 | 2024-Dec-01 17:09 |
tldr-python-client-doc-3.3.0-r0.apk | 3321 | 2024-Dec-01 17:09 |
tldr-python-client-pyc-3.3.0-r0.apk | 14248 | 2024-Dec-01 17:09 |
tmate-2.4.0-r4.apk | 317169 | 2024-Oct-25 20:57 |
tmate-doc-2.4.0-r4.apk | 73174 | 2024-Oct-25 20:57 |
tmpl-0.4.0-r13.apk | 2657873 | 2025-Aug-08 16:56 |
tmpl-doc-0.4.0-r13.apk | 2040 | 2025-Aug-08 16:56 |
tmpmail-1.2.3-r2.apk | 6924 | 2024-Oct-25 20:57 |
tmpmail-doc-1.2.3-r2.apk | 3058 | 2024-Oct-25 20:57 |
tmux-resurrect-4.0.0-r0.apk | 14185 | 2024-Oct-25 20:57 |
tmux-resurrect-doc-4.0.0-r0.apk | 8595 | 2024-Oct-25 20:57 |
tmux-rime-0.0.5-r0.apk | 7933 | 2025-Aug-15 10:11 |
tmux-rime-dev-0.0.5-r0.apk | 4978 | 2025-Aug-15 10:11 |
tncattach-0.1.9-r1.apk | 24044 | 2024-Oct-25 20:57 |
tncattach-doc-0.1.9-r1.apk | 3735 | 2024-Oct-25 20:57 |
tnef-1.4.18-r0.apk | 26235 | 2024-Oct-25 20:57 |
tnef-doc-1.4.18-r0.apk | 4057 | 2024-Oct-25 20:57 |
toapk-1.0-r0.apk | 10975 | 2024-Oct-25 20:57 |
today-6.2.1-r0.apk | 2990 | 2025-Jun-17 15:29 |
today-doc-6.2.1-r0.apk | 3116 | 2025-Jun-17 15:29 |
tokay-0.6.12-r0.apk | 1076111 | 2025-Aug-15 15:04 |
tokay-doc-0.6.12-r0.apk | 4956 | 2025-Aug-15 15:04 |
toml2json-1.3.2-r0.apk | 386259 | 2025-Sep-01 13:13 |
toml2json-doc-1.3.2-r0.apk | 3521 | 2025-Sep-01 13:13 |
tonutils-reverse-proxy-0.4.6-r1.apk | 4360894 | 2025-Aug-08 16:56 |
tonutils-reverse-proxy-doc-0.4.6-r1.apk | 3042 | 2025-Aug-08 16:56 |
topgit-0.19.13-r1.apk | 129426 | 2024-Oct-25 20:57 |
topgit-bash-completion-0.19.13-r1.apk | 3857 | 2024-Oct-25 20:57 |
topgit-doc-0.19.13-r1.apk | 74920 | 2024-Oct-25 20:57 |
torrent-file-editor-0.3.18-r0.apk | 378622 | 2024-Oct-25 20:57 |
toss-1.1-r1.apk | 13983 | 2025-May-29 12:09 |
touchpad-emulator-0.3-r0.apk | 13675 | 2025-May-26 17:46 |
toybox-0.8.12-r0.apk | 290629 | 2025-Jul-20 15:25 |
tpm2-pkcs11-1.9.1-r0.apk | 132243 | 2024-Oct-25 20:57 |
tpm2-pkcs11-dev-1.9.1-r0.apk | 1656 | 2024-Oct-25 20:57 |
tpm2-pkcs11-pyc-1.9.1-r0.apk | 70315 | 2024-Oct-25 20:57 |
tpp-bypass-0.8.4-r0.apk | 13896 | 2024-Oct-25 20:57 |
tqm-1.16.0-r0.apk | 4648494 | 2025-Aug-19 20:19 |
trace-cmd-3.3.1-r1.apk | 176494 | 2025-Jan-19 11:58 |
trace-cmd-bash-completion-3.3.1-r1.apk | 3159 | 2025-Jan-19 11:58 |
trace-cmd-dbg-3.3.1-r1.apk | 481641 | 2025-Jan-19 11:58 |
trace-cmd-doc-3.3.1-r1.apk | 175109 | 2025-Jan-19 11:58 |
transito-0.9.1-r10.apk | 8548858 | 2025-Aug-19 11:02 |
transito-doc-0.9.1-r10.apk | 772859 | 2025-Aug-19 11:02 |
transmission-remote-gtk-1.6.0-r0.apk | 157078 | 2024-Oct-25 20:57 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4058 | 2024-Oct-25 20:57 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 108284 | 2024-Oct-25 20:57 |
trantor-1.5.18-r0.apk | 250477 | 2024-Oct-25 20:57 |
trantor-dev-1.5.18-r0.apk | 34552 | 2024-Oct-25 20:57 |
trantor-doc-1.5.18-r0.apk | 2409 | 2024-Oct-25 20:57 |
tre-0.8.0-r2.apk | 28912 | 2024-Oct-25 20:57 |
tre-dev-0.8.0-r2.apk | 5058 | 2024-Oct-25 20:57 |
tre-static-0.8.0-r2.apk | 31482 | 2024-Oct-25 20:57 |
trealla-2.82.28-r0.apk | 917877 | 2025-Sep-02 06:05 |
trealla-doc-2.82.28-r0.apk | 25631 | 2025-Sep-02 06:05 |
tree-sitter-caddy-0_git20230322-r0.apk | 74365 | 2024-Oct-25 20:57 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2076 | 2024-Oct-25 20:57 |
tree-sitter-clojure-0.0.13-r0.apk | 23721 | 2025-Jul-23 12:00 |
tree-sitter-dart-0_git20250228-r0.apk | 102487 | 2025-Mar-10 23:05 |
tree-sitter-git-commit-0_git20211225-r4.apk | 13291 | 2025-Jul-25 12:56 |
tree-sitter-git-diff-0_git20230730-r1.apk | 10324 | 2025-Jul-25 12:57 |
tree-sitter-git-rebase-0_git20240722-r0.apk | 5432 | 2025-Mar-10 23:05 |
tree-sitter-gleam-1.0.0-r0.apk | 43578 | 2024-Oct-25 20:57 |
tree-sitter-hare-0_git20230616-r2.apk | 33886 | 2025-Jul-22 20:20 |
tree-sitter-haskell-0.23.1-r0.apk | 292004 | 2025-Jan-09 15:59 |
tree-sitter-hcl-1.2.0-r0.apk | 22896 | 2025-Jun-19 00:22 |
tree-sitter-just-0_git20230318-r0.apk | 14900 | 2024-Oct-25 20:57 |
tree-sitter-make-0_git20211216-r2.apk | 43042 | 2024-Oct-25 20:57 |
tree-sitter-pascal-0.9.1-r0.apk | 83696 | 2024-Oct-25 20:57 |
tree-sitter-pascal-doc-0.9.1-r0.apk | 2058 | 2024-Oct-25 20:57 |
tremc-0.9.4-r0.apk | 53580 | 2025-Jul-16 04:17 |
tremc-bash-completion-0.9.4-r0.apk | 1681 | 2025-Jul-16 04:17 |
tremc-doc-0.9.4-r0.apk | 2625 | 2025-Jul-16 04:17 |
tremc-zsh-completion-0.9.4-r0.apk | 1598 | 2025-Jul-16 04:17 |
trigger-rally-0.6.7-r3.apk | 319532 | 2025-Mar-25 15:24 |
trigger-rally-data-0.6.7-r3.apk | 369090512 | 2025-Mar-25 15:25 |
trigger-rally-doc-0.6.7-r3.apk | 28542 | 2025-Mar-25 15:25 |
trippy-0.13.0-r0.apk | 2326185 | 2025-May-18 23:21 |
trippy-bash-completion-0.13.0-r0.apk | 2993 | 2025-May-18 23:21 |
trippy-zsh-completion-0.13.0-r0.apk | 4699 | 2025-May-18 23:21 |
trivy-0.65.0-r2.apk | 64795426 | 2025-Aug-09 12:15 |
tsung-1.8.0-r3.apk | 738811 | 2025-Jun-13 05:51 |
ttfautohint-1.8.4-r0.apk | 29114 | 2024-Oct-25 20:58 |
ttfautohint-dev-1.8.4-r0.apk | 160740 | 2024-Oct-25 20:58 |
ttfautohint-doc-1.8.4-r0.apk | 8024 | 2024-Oct-25 20:58 |
ttfautohint-gui-1.8.4-r0.apk | 60478 | 2024-Oct-25 20:58 |
ttfautohint-libs-1.8.4-r0.apk | 105364 | 2024-Oct-25 20:58 |
tty-clock-2.3_git20240104-r0.apk | 8639 | 2024-Oct-25 20:58 |
tty-clock-doc-2.3_git20240104-r0.apk | 2927 | 2024-Oct-25 20:58 |
tty-proxy-0.0.2-r30.apk | 2584281 | 2025-Aug-08 16:56 |
tty-share-2.4.0-r20.apk | 3758648 | 2025-Aug-08 16:56 |
ttyper-1.6.0-r0.apk | 659084 | 2025-Feb-05 21:53 |
tui-journal-0.10.0-r0.apk | 2145667 | 2024-Oct-25 20:58 |
tui-journal-doc-0.10.0-r0.apk | 6796 | 2024-Oct-25 20:58 |
tuned-2.26.0-r0.apk | 163999 | 2025-Aug-27 04:27 |
tuned-bash-completion-2.26.0-r0.apk | 1703 | 2025-Aug-27 04:27 |
tuned-doc-2.26.0-r0.apk | 80498 | 2025-Aug-27 04:27 |
tuned-gtk-2.26.0-r0.apk | 22252 | 2025-Aug-27 04:27 |
tuned-openrc-2.26.0-r0.apk | 1476 | 2025-Aug-27 04:27 |
tuned-ppd-2.26.0-r0.apk | 3510 | 2025-Aug-27 04:27 |
tuned-ppd-openrc-2.26.0-r0.apk | 1528 | 2025-Aug-27 04:27 |
tuned-profiles-2.26.0-r0.apk | 8243 | 2025-Aug-27 04:27 |
tuned-profiles-compat-2.26.0-r0.apk | 3396 | 2025-Aug-27 04:27 |
tuned-pyc-2.26.0-r0.apk | 342956 | 2025-Aug-27 04:27 |
tuned-utils-2.26.0-r0.apk | 10548 | 2025-Aug-27 04:27 |
tup-0.7.11-r1.apk | 247618 | 2025-Jun-19 08:53 |
tup-doc-0.7.11-r1.apk | 21101 | 2025-Jun-19 08:53 |
tup-vim-0.7.11-r1.apk | 2307 | 2025-Jun-19 08:53 |
tuptime-5.2.4-r1.apk | 13916 | 2024-Nov-28 22:45 |
tuptime-doc-5.2.4-r1.apk | 3570 | 2024-Nov-28 22:45 |
tuptime-openrc-5.2.4-r1.apk | 1468 | 2024-Nov-28 22:45 |
turn-rs-3.4.0-r1.apk | 637030 | 2025-Jun-12 14:19 |
turn-rs-doc-3.4.0-r1.apk | 10822 | 2025-Jun-12 14:19 |
turn-rs-openrc-3.4.0-r1.apk | 1740 | 2025-Jun-12 14:19 |
turnstile-0.1.10-r3.apk | 40061 | 2024-Oct-25 20:58 |
turnstile-doc-0.1.10-r3.apk | 5573 | 2024-Oct-25 20:58 |
turnstile-openrc-0.1.10-r3.apk | 1577 | 2024-Oct-25 20:58 |
turntable-0.3.3-r0.apk | 188115 | 2025-May-27 13:02 |
turntable-lang-0.3.3-r0.apk | 12372 | 2025-May-27 13:02 |
twemproxy-0.5.0-r0.apk | 72486 | 2024-Oct-25 20:58 |
twemproxy-doc-0.5.0-r0.apk | 17619 | 2024-Oct-25 20:58 |
twiggy-0.6.0-r3.apk | 843433 | 2024-Oct-25 20:58 |
twinkle-1.10.3-r3.apk | 2550948 | 2025-Jan-04 21:55 |
twinkle-doc-1.10.3-r3.apk | 3314 | 2025-Jan-04 21:55 |
ty-0.0.1_alpha19-r0.apk | 6192968 | 2025-Sep-01 00:25 |
ty-bash-completion-0.0.1_alpha19-r0.apk | 2338 | 2025-Sep-01 00:25 |
ty-fish-completion-0.0.1_alpha19-r0.apk | 2885 | 2025-Sep-01 00:25 |
ty-pyc-0.0.1_alpha19-r0.apk | 3707 | 2025-Sep-01 00:25 |
ty-zsh-completion-0.0.1_alpha19-r0.apk | 3202 | 2025-Sep-01 00:25 |
typlite-0.13.18-r0.apk | 14937149 | 2025-Aug-05 06:07 |
typobuster-1.0.0-r0.apk | 132194 | 2025-Apr-23 22:31 |
typstyle-0.12.14-r0.apk | 569135 | 2025-Jan-12 14:14 |
u1db-qt-0.1.8-r0.apk | 101655 | 2024-Oct-25 20:58 |
uasm-2.56.2-r0.apk | 288789 | 2024-Oct-25 20:58 |
ubase-20200605-r3.apk | 45861 | 2024-Oct-25 20:58 |
ubase-doc-20200605-r3.apk | 21478 | 2024-Oct-25 20:58 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16284 | 2024-Oct-25 20:58 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2072 | 2024-Oct-25 20:58 |
ubus-2025.05.16-r0.apk | 38392 | 2025-Aug-11 20:11 |
ubus-dev-2025.05.16-r0.apk | 5457 | 2025-Aug-11 20:11 |
uclient-20241022-r0.apk | 20554 | 2025-May-29 22:00 |
uclient-dev-20241022-r0.apk | 3367 | 2025-May-29 22:00 |
uclient-fetch-20241022-r0.apk | 10550 | 2025-May-29 22:00 |
udpt-3.1.2-r0.apk | 766807 | 2024-Oct-25 20:58 |
udpt-openrc-3.1.2-r0.apk | 1579 | 2024-Oct-25 20:58 |
ueberzug-18.3.1-r0.apk | 66915 | 2025-Feb-22 11:09 |
ueberzug-pyc-18.3.1-r0.apk | 65033 | 2025-Feb-22 11:09 |
undock-0.10.0-r2.apk | 10144347 | 2025-Aug-08 16:56 |
unit-php81-1.34.2-r2.apk | 33129 | 2025-Aug-29 18:01 |
unit-php85-1.34.2-r2.apk | 34898 | 2025-Aug-29 18:45 |
up-0.4-r31.apk | 1209344 | 2025-Aug-08 16:56 |
upterm-0.14.3-r7.apk | 6078992 | 2025-Aug-08 16:56 |
upterm-bash-completion-0.14.3-r7.apk | 5398 | 2025-Aug-08 16:56 |
upterm-doc-0.14.3-r7.apk | 6277 | 2025-Aug-08 16:56 |
upterm-server-0.14.3-r7.apk | 5947988 | 2025-Aug-08 16:56 |
upterm-server-openrc-0.14.3-r7.apk | 1659 | 2025-Aug-08 16:56 |
upterm-zsh-completion-0.14.3-r7.apk | 3873 | 2025-Aug-08 16:56 |
uranium-5.2.2-r3.apk | 609570 | 2024-Oct-25 20:58 |
usbmuxd-1.1.1_git20250201-r9.apk | 36956 | 2025-Aug-24 08:04 |
usbmuxd-doc-1.1.1_git20250201-r9.apk | 2811 | 2025-Aug-24 08:04 |
usbmuxd-udev-1.1.1_git20250201-r9.apk | 1871 | 2025-Aug-24 08:04 |
usbtop-1.0-r0.apk | 15070 | 2025-Apr-12 12:27 |
usql-0.15.6-r15.apk | 29487202 | 2025-Aug-08 16:56 |
ustr-1.0.4-r1.apk | 60038 | 2024-Oct-25 20:58 |
ustr-debug-1.0.4-r1.apk | 79224 | 2024-Oct-25 20:58 |
ustr-dev-1.0.4-r1.apk | 93378 | 2024-Oct-25 20:58 |
ustr-doc-1.0.4-r1.apk | 98632 | 2024-Oct-25 20:58 |
ustr-static-1.0.4-r1.apk | 184023 | 2024-Oct-25 20:58 |
utop-2.9.1-r4.apk | 13925991 | 2024-Oct-25 20:58 |
utop-common-2.9.1-r4.apk | 1828 | 2024-Oct-25 20:58 |
utop-doc-2.9.1-r4.apk | 5616 | 2024-Oct-25 20:58 |
utop-emacs-2.9.1-r4.apk | 12876 | 2024-Oct-25 20:58 |
utop-full-2.9.1-r4.apk | 13930478 | 2024-Oct-25 20:58 |
uucp-1.07-r6.apk | 510802 | 2024-Oct-25 20:58 |
uucp-doc-1.07-r6.apk | 120667 | 2024-Oct-25 20:58 |
uxn-1.0-r0.apk | 48365 | 2024-Oct-25 20:58 |
uxn-doc-1.0-r0.apk | 4016 | 2024-Oct-25 20:58 |
vals-0.42.0-r1.apk | 36055018 | 2025-Aug-08 16:56 |
varnish-modules-0.26.0-r0.apk | 57170 | 2025-Jul-06 19:18 |
varnish-modules-doc-0.26.0-r0.apk | 21786 | 2025-Jul-06 19:18 |
vcdimager-2.0.1-r5.apk | 495354 | 2025-Jan-22 10:34 |
vcdimager-dev-2.0.1-r5.apk | 132056 | 2025-Jan-22 10:34 |
vcdimager-doc-2.0.1-r5.apk | 75807 | 2025-Jan-22 10:34 |
vcmi-1.6.8-r1.apk | 13649050 | 2025-Aug-27 17:13 |
vcsh-2.0.5-r0.apk | 8740 | 2024-Oct-25 20:58 |
vcsh-bash-completion-2.0.5-r0.apk | 2725 | 2024-Oct-25 20:58 |
vcsh-doc-2.0.5-r0.apk | 27580 | 2024-Oct-25 20:58 |
vcsh-zsh-completion-2.0.5-r0.apk | 2732 | 2024-Oct-25 20:58 |
vcstool-0.3.0-r5.apk | 35371 | 2024-Oct-25 20:58 |
vcstool-bash-completion-0.3.0-r5.apk | 1534 | 2024-Oct-25 20:58 |
vcstool-pyc-0.3.0-r5.apk | 58844 | 2024-Oct-25 20:58 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1410 | 2024-Oct-25 20:58 |
vcstool-zsh-completion-0.3.0-r5.apk | 1503 | 2024-Oct-25 20:58 |
vector-0.49.0-r0.apk | 26395263 | 2025-Aug-19 09:04 |
vector-doc-0.49.0-r0.apk | 5256 | 2025-Aug-19 09:04 |
vector-openrc-0.49.0-r0.apk | 1979 | 2025-Aug-19 09:04 |
vectoroids-1.1.0-r2.apk | 289097 | 2024-Oct-25 20:58 |
vectoroids-doc-1.1.0-r2.apk | 2085 | 2024-Oct-25 20:58 |
vera++-1.3.0-r10.apk | 265644 | 2024-Oct-25 20:58 |
vfd-configurations-0_git20230612-r0.apk | 25129 | 2024-Oct-25 20:58 |
vice-3.9-r1.apk | 14257850 | 2025-Aug-27 17:13 |
vidcutter-6.0.5.3-r0.apk | 2925048 | 2024-Oct-25 20:58 |
vidcutter-doc-6.0.5.3-r0.apk | 24513 | 2024-Oct-25 20:58 |
vidcutter-pyc-6.0.5.3-r0.apk | 1996404 | 2024-Oct-25 20:58 |
video-trimmer-25.03-r0.apk | 404603 | 2025-Jun-30 13:48 |
video-trimmer-lang-25.03-r0.apk | 94540 | 2025-Jun-30 13:48 |
viewnior-1.8-r1.apk | 77426 | 2024-Oct-25 20:58 |
viewnior-doc-1.8-r1.apk | 1881 | 2024-Oct-25 20:58 |
viewnior-lang-1.8-r1.apk | 86926 | 2024-Oct-25 20:58 |
vile-9.8z_p1-r1.apk | 810696 | 2025-Jun-30 09:24 |
vile-common-9.8z_p1-r1.apk | 371330 | 2025-Jun-30 09:24 |
vile-doc-9.8z_p1-r1.apk | 365476 | 2025-Jun-30 09:24 |
vim-airline-0.11-r0.apk | 88467 | 2024-Oct-25 20:58 |
vim-airline-doc-0.11-r0.apk | 12417 | 2024-Oct-25 20:58 |
vim-nerdtree-7.1.3-r0.apk | 67891 | 2025-Mar-04 21:01 |
vim-rust-305-r1.apk | 20108 | 2025-Aug-21 08:06 |
virtctl-1.6.0-r1.apk | 14887069 | 2025-Aug-08 16:56 |
virtctl-bash-completion-1.6.0-r1.apk | 4980 | 2025-Aug-08 16:56 |
virtctl-fish-completion-1.6.0-r1.apk | 4164 | 2025-Aug-08 16:56 |
virtctl-zsh-completion-1.6.0-r1.apk | 3875 | 2025-Aug-08 16:56 |
virter-0.29.0-r2.apk | 5793088 | 2025-Aug-08 16:56 |
virter-bash-completion-0.29.0-r2.apk | 5987 | 2025-Aug-08 16:56 |
virter-doc-0.29.0-r2.apk | 14973 | 2025-Aug-08 16:56 |
virter-fish-completion-0.29.0-r2.apk | 4166 | 2025-Aug-08 16:56 |
virter-zsh-completion-0.29.0-r2.apk | 3885 | 2025-Aug-08 16:56 |
virtualgl-3.1.3-r0.apk | 2099251 | 2025-Apr-21 21:46 |
virtualgl-dev-3.1.3-r0.apk | 6001 | 2025-Apr-21 21:46 |
virtualgl-doc-3.1.3-r0.apk | 320946 | 2025-Apr-21 21:46 |
visidata-3.2-r0.apk | 430379 | 2025-Jun-20 11:39 |
visidata-doc-3.2-r0.apk | 18380 | 2025-Jun-20 11:39 |
visidata-pyc-3.2-r0.apk | 860572 | 2025-Jun-20 11:39 |
visidata-zsh-completion-3.2-r0.apk | 9222 | 2025-Jun-20 11:39 |
vit-2.3.2-r1.apk | 82142 | 2024-Oct-25 20:58 |
vit-pyc-2.3.2-r1.apk | 154850 | 2024-Oct-25 20:58 |
vkbasalt-0.3.2.10-r0.apk | 419681 | 2024-Oct-25 20:58 |
vkbasalt-doc-0.3.2.10-r0.apk | 2885 | 2024-Oct-25 20:58 |
vmtouch-1.3.1-r0.apk | 13161 | 2024-Oct-25 20:58 |
vmtouch-doc-1.3.1-r0.apk | 7962 | 2024-Oct-25 20:58 |
voikko-fi-2.5-r0.apk | 1638134 | 2024-Oct-25 20:58 |
volatility3-2.26.0-r0.apk | 1061109 | 2025-Aug-13 15:29 |
volatility3-pyc-2.26.0-r0.apk | 1293883 | 2025-Aug-13 15:29 |
volumeicon-0.5.1-r1.apk | 43975 | 2024-Oct-25 20:58 |
volumeicon-lang-0.5.1-r1.apk | 3583 | 2024-Oct-25 20:58 |
vym-2.9.26-r0.apk | 2932965 | 2024-Oct-25 20:58 |
vym-doc-2.9.26-r0.apk | 3555108 | 2024-Oct-25 20:58 |
w_scan2-1.0.17-r0.apk | 136829 | 2025-Jun-11 17:46 |
w_scan2-doc-1.0.17-r0.apk | 3986 | 2025-Jun-11 17:46 |
wabt-1.0.37-r0.apk | 4640117 | 2025-Apr-06 21:41 |
wabt-doc-1.0.37-r0.apk | 13476 | 2025-Apr-06 21:41 |
wakeonlan-0.42-r0.apk | 4345 | 2024-Oct-25 20:58 |
wakeonlan-doc-0.42-r0.apk | 7430 | 2024-Oct-25 20:58 |
walk-1.13.0-r5.apk | 3058419 | 2025-Aug-08 16:56 |
walk-doc-1.13.0-r5.apk | 2017 | 2025-Aug-08 16:56 |
walk-sor-0_git20190920-r1.apk | 5786 | 2024-Oct-25 20:58 |
walk-sor-doc-0_git20190920-r1.apk | 7690 | 2024-Oct-25 20:58 |
warp-s3-1.3.0-r0.apk | 8199501 | 2025-Aug-14 11:56 |
warpinator-1.8.9-r0.apk | 220930 | 2025-Jul-26 20:10 |
warpinator-lang-1.8.9-r0.apk | 227325 | 2025-Jul-26 20:10 |
warpinator-nemo-1.8.9-r0.apk | 3999 | 2025-Jul-26 20:10 |
wasmtime-34.0.1-r0.apk | 7064684 | 2025-Jul-21 02:35 |
wasmtime-dev-34.0.1-r0.apk | 92752 | 2025-Jul-21 02:35 |
watchbind-0.2.1-r1.apk | 1198870 | 2024-Oct-25 20:58 |
watchbind-doc-0.2.1-r1.apk | 6458 | 2024-Oct-25 20:58 |
watchdog-5.16-r2.apk | 49062 | 2024-Oct-25 20:58 |
watchdog-doc-5.16-r2.apk | 14294 | 2024-Oct-25 20:58 |
watershot-0.2.0-r0.apk | 1840648 | 2024-Oct-25 20:58 |
way-displays-1.14.1-r0.apk | 118906 | 2025-Jul-05 16:46 |
way-displays-doc-1.14.1-r0.apk | 4407 | 2025-Jul-05 16:46 |
way-secure-0.2.0-r0.apk | 230602 | 2025-Apr-18 22:26 |
way-secure-doc-0.2.0-r0.apk | 2840 | 2025-Apr-18 22:26 |
wayfire-0.9.0-r0.apk | 2760212 | 2025-Feb-27 20:19 |
wayfire-dev-0.9.0-r0.apk | 133630 | 2025-Feb-27 20:19 |
wayfire-doc-0.9.0-r0.apk | 3460 | 2025-Feb-27 20:19 |
wayfire-plugins-extra-0.9.0-r0.apk | 607392 | 2025-Feb-27 20:19 |
waylevel-1.0.0-r1.apk | 333385 | 2024-Oct-25 20:58 |
waynergy-0.0.17-r0.apk | 51965 | 2024-Oct-25 20:58 |
wayqt-0.3.0-r1.apk | 145860 | 2025-Aug-21 08:05 |
wayqt-dev-0.3.0-r1.apk | 18537 | 2025-Aug-21 08:05 |
wbg-1.3.0-r0.apk | 42236 | 2025-Aug-12 05:09 |
wch-isp-0.4.1-r2.apk | 10834 | 2024-Oct-25 20:58 |
wch-isp-doc-0.4.1-r2.apk | 2456 | 2024-Oct-25 20:58 |
wch-isp-udev-rules-0.4.1-r2.apk | 1423 | 2024-Oct-25 20:58 |
wcm-0.9.0-r0.apk | 381088 | 2025-Feb-27 20:19 |
webhookd-1.20.2-r2.apk | 3256210 | 2025-Aug-08 16:56 |
webhookd-doc-1.20.2-r2.apk | 2046 | 2025-Aug-08 16:56 |
webhookd-openrc-1.20.2-r2.apk | 2037 | 2025-Aug-08 16:56 |
webtunnel-0.0.2-r3.apk | 3670756 | 2025-Aug-08 16:56 |
weggli-0.2.4-r1.apk | 873640 | 2024-Oct-25 20:58 |
welle-cli-2.7-r0.apk | 325618 | 2025-Apr-07 19:08 |
welle-io-2.7-r0.apk | 422521 | 2025-Apr-07 19:08 |
welle-io-doc-2.7-r0.apk | 3807 | 2025-Apr-07 19:08 |
wf-config-0.9.0-r0.apk | 114486 | 2025-Feb-27 20:19 |
wf-config-dev-0.9.0-r0.apk | 16379 | 2025-Feb-27 20:19 |
wf-shell-0.9.0-r0.apk | 6391080 | 2025-Feb-27 20:19 |
wf-shell-dev-0.9.0-r0.apk | 1422 | 2025-Feb-27 20:19 |
wf-shell-doc-0.9.0-r0.apk | 2886 | 2025-Feb-27 20:19 |
wgcf-2.2.26-r2.apk | 3974856 | 2025-Aug-08 16:56 |
wgcf-bash-completion-2.2.26-r2.apk | 5977 | 2025-Aug-08 16:56 |
wgcf-fish-completion-2.2.26-r2.apk | 4156 | 2025-Aug-08 16:56 |
wgcf-zsh-completion-2.2.26-r2.apk | 3872 | 2025-Aug-08 16:56 |
whipper-0.10.0-r5.apk | 116043 | 2024-Oct-25 20:58 |
whipper-pyc-0.10.0-r5.apk | 189230 | 2024-Oct-25 20:58 |
wiki-tui-0.8.2-r1.apk | 1637612 | 2024-Oct-25 20:58 |
wiki-tui-doc-0.8.2-r1.apk | 4449 | 2024-Oct-25 20:58 |
wiremapper-0.10.0-r0.apk | 22173 | 2024-Oct-25 20:58 |
wiremix-0.7.0-r0.apk | 896845 | 2025-Aug-22 12:02 |
wiremix-doc-0.7.0-r0.apk | 9362 | 2025-Aug-22 12:02 |
witchery-0.0.3-r2.apk | 3278 | 2024-Oct-25 20:58 |
wk-adblock-0.0.4-r5.apk | 185564 | 2024-Oct-25 20:58 |
wk-adblock-doc-0.0.4-r5.apk | 1877 | 2024-Oct-25 20:58 |
wl-clipboard-x11-5-r3.apk | 3229 | 2024-Oct-25 20:58 |
wl-clipboard-x11-doc-5-r3.apk | 2741 | 2024-Oct-25 20:58 |
wl-gammarelay-0.1.3-r1.apk | 1681493 | 2025-Aug-08 16:56 |
wl-kbptr-0.4.0-r0.apk | 27485 | 2025-Jul-20 14:23 |
wl-kbptr-doc-0.4.0-r0.apk | 4627 | 2025-Jul-20 14:23 |
wl-screenrec-0.1.7-r1.apk | 604641 | 2025-Aug-27 17:13 |
wl-screenrec-bash-completion-0.1.7-r1.apk | 2209 | 2025-Aug-27 17:13 |
wl-screenrec-doc-0.1.7-r1.apk | 9468 | 2025-Aug-27 17:13 |
wl-screenrec-fish-completion-0.1.7-r1.apk | 3028 | 2025-Aug-27 17:13 |
wl-screenrec-zsh-completion-0.1.7-r1.apk | 3477 | 2025-Aug-27 17:13 |
wlavu-0_git20201101-r1.apk | 12850 | 2024-Oct-25 20:58 |
wlclock-1.0.1-r0.apk | 17672 | 2024-Oct-25 20:58 |
wlclock-doc-1.0.1-r0.apk | 3369 | 2024-Oct-25 20:58 |
wlroots0.12-0.12.0-r1.apk | 262682 | 2024-Oct-25 20:58 |
wlroots0.12-dbg-0.12.0-r1.apk | 982027 | 2024-Oct-25 20:58 |
wlroots0.12-dev-0.12.0-r1.apk | 62151 | 2024-Oct-25 20:58 |
wlroots0.15-0.15.1-r6.apk | 304939 | 2024-Oct-25 20:58 |
wlroots0.15-dbg-0.15.1-r6.apk | 1231591 | 2024-Oct-25 20:58 |
wlroots0.15-dev-0.15.1-r6.apk | 71866 | 2024-Oct-25 20:58 |
wlroots0.17-0.17.4-r3.apk | 394338 | 2025-Aug-12 22:42 |
wlroots0.17-dbg-0.17.4-r3.apk | 1486257 | 2025-Aug-12 22:42 |
wlroots0.17-dev-0.17.4-r3.apk | 79010 | 2025-Aug-12 22:42 |
wmctrl-1.07-r1.apk | 14415 | 2024-Oct-25 20:58 |
wmctrl-doc-1.07-r1.apk | 5225 | 2024-Oct-25 20:58 |
wmi-client-1.3.16-r5.apk | 2571946 | 2024-Oct-25 20:58 |
wok-3.0.0-r6.apk | 160094 | 2024-Oct-25 20:58 |
wok-doc-3.0.0-r6.apk | 3490 | 2024-Oct-25 20:58 |
wok-lang-3.0.0-r6.apk | 16161 | 2024-Oct-25 20:58 |
wok-pyc-3.0.0-r6.apk | 121258 | 2024-Oct-25 20:58 |
wol-0.7.1-r3.apk | 26673 | 2024-Oct-25 20:58 |
wol-doc-0.7.1-r3.apk | 5390 | 2024-Oct-25 20:58 |
wol-lang-0.7.1-r3.apk | 8087 | 2024-Oct-25 20:58 |
wolfssh-1.4.17-r0.apk | 141327 | 2024-Oct-25 20:58 |
wolfssh-dev-1.4.17-r0.apk | 177922 | 2024-Oct-25 20:58 |
wpa_actiond-1.4-r7.apk | 10509 | 2024-Oct-25 20:58 |
wpa_actiond-openrc-1.4-r7.apk | 2007 | 2024-Oct-25 20:58 |
wput-0.6.2-r4.apk | 41539 | 2024-Oct-25 20:58 |
wput-doc-0.6.2-r4.apk | 8444 | 2024-Oct-25 20:58 |
wroomd-0.1.0-r0.apk | 1095672 | 2024-Oct-25 20:58 |
wroomd-openrc-0.1.0-r0.apk | 1479 | 2024-Oct-25 20:58 |
wshowkeys-1.0-r0.apk | 14991 | 2024-Oct-25 20:58 |
wsmancli-2.8.0-r0.apk | 20378 | 2025-Jul-14 09:41 |
wsmancli-doc-2.8.0-r0.apk | 3486 | 2025-Jul-14 09:41 |
wtfutil-0.43.0-r15.apk | 19194325 | 2025-Aug-08 16:56 |
x11docker-7.6.0-r1.apk | 115899 | 2024-Oct-25 20:58 |
x11docker-doc-7.6.0-r1.apk | 9368 | 2024-Oct-25 20:58 |
xa-2.4.1-r0.apk | 91822 | 2025-Feb-25 12:37 |
xa-doc-2.4.1-r0.apk | 17347 | 2025-Feb-25 12:37 |
xcape-1.2-r1.apk | 7133 | 2025-May-14 18:20 |
xcape-doc-1.2-r1.apk | 2884 | 2025-May-14 18:20 |
xcompmgr-1.1.10-r0.apk | 15656 | 2025-Jun-28 11:26 |
xcompmgr-doc-1.1.10-r0.apk | 2396 | 2025-Jun-28 11:26 |
xdg-desktop-portal-hyprland-1.3.10-r0.apk | 363392 | 2025-Aug-25 17:43 |
xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk | 2225 | 2025-Aug-25 17:43 |
xdg-ninja-0.2.0.2-r0.apk | 71897 | 2024-Oct-25 20:58 |
xed-3.8.3-r0.apk | 1161518 | 2025-Jul-31 20:37 |
xed-dev-3.8.3-r0.apk | 13722 | 2025-Jul-31 20:37 |
xed-doc-3.8.3-r0.apk | 993971 | 2025-Jul-31 20:37 |
xed-lang-3.8.3-r0.apk | 2187900 | 2025-Jul-31 20:38 |
xed-python-3.8.3-r0.apk | 24816 | 2025-Jul-31 20:38 |
xemu-0.8.74-r0.apk | 4638795 | 2025-Jun-19 00:22 |
xendmail-0.4.4-r0.apk | 982655 | 2025-Aug-06 08:57 |
xendmail-doc-0.4.4-r0.apk | 2332 | 2025-Aug-06 08:57 |
xfce4-hamster-plugin-1.17-r0.apk | 39116 | 2024-Oct-25 20:58 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5189 | 2024-Oct-25 20:58 |
xfce4-mixer-4.18.1-r2.apk | 91284 | 2024-Oct-25 20:58 |
xfce4-mixer-doc-4.18.1-r2.apk | 2296 | 2024-Oct-25 20:58 |
xfce4-mixer-lang-4.18.1-r2.apk | 59908 | 2024-Oct-25 20:58 |
xfce4-panel-profiles-1.1.1-r0.apk | 58705 | 2025-Jul-06 09:20 |
xfce4-panel-profiles-doc-1.1.1-r0.apk | 20154 | 2025-Jul-06 09:20 |
xfce4-panel-profiles-lang-1.1.1-r0.apk | 54486 | 2025-Jul-06 09:20 |
xfd-1.1.4-r0.apk | 13980 | 2024-Oct-25 20:58 |
xfd-doc-1.1.4-r0.apk | 4779 | 2024-Oct-25 20:58 |
xfsdump-3.2.0-r0.apk | 428349 | 2025-Aug-30 20:48 |
xfsdump-doc-3.2.0-r0.apk | 43201 | 2025-Aug-30 20:48 |
xgalaga-2.1.1.0-r1.apk | 319410 | 2024-Oct-25 20:58 |
xgalaga-doc-2.1.1.0-r1.apk | 2343 | 2024-Oct-25 20:58 |
xiccd-0.3.0_git20211219-r1.apk | 17216 | 2024-Oct-25 20:58 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3383 | 2024-Oct-25 20:58 |
xisxwayland-2-r1.apk | 4164 | 2024-Oct-25 20:58 |
xisxwayland-doc-2-r1.apk | 1754 | 2024-Oct-25 20:58 |
xkb-switch-1.8.5-r1.apk | 21086 | 2025-May-14 18:20 |
xkb-switch-doc-1.8.5-r1.apk | 1898 | 2025-May-14 18:20 |
xlhtml-0.5.1-r0.apk | 11938 | 2024-Oct-25 20:58 |
xlhtml-doc-0.5.1-r0.apk | 2299 | 2024-Oct-25 20:58 |
xload-1.1.4-r0.apk | 7447 | 2024-Oct-25 20:58 |
xload-doc-1.1.4-r0.apk | 3283 | 2024-Oct-25 20:58 |
xmag-1.0.8-r0.apk | 17514 | 2024-Oct-25 20:58 |
xmag-doc-1.0.8-r0.apk | 4589 | 2024-Oct-25 20:58 |
xml2rfc-3.28.1-r0.apk | 359961 | 2025-Apr-18 11:01 |
xml2rfc-pyc-3.28.1-r0.apk | 416993 | 2025-Apr-18 11:01 |
xmp-4.2.0-r0.apk | 23564 | 2024-Oct-25 20:58 |
xmp-doc-4.2.0-r0.apk | 5177 | 2024-Oct-25 20:58 |
xmpp-dns-0.2.4-r26.apk | 1886675 | 2025-Aug-08 16:56 |
xmppipe-0.16.0-r1.apk | 17046 | 2024-Oct-25 20:58 |
xone-src-0.4.3-r0.apk | 57232 | 2025-Aug-21 12:36 |
xonsh-0.19.1-r0.apk | 598363 | 2025-Feb-05 22:52 |
xonsh-pyc-0.19.1-r0.apk | 1064265 | 2025-Feb-05 22:52 |
xosview-1.24-r0.apk | 130457 | 2024-Oct-25 20:58 |
xosview-doc-1.24-r0.apk | 12554 | 2024-Oct-25 20:58 |
xsane-0.999-r2.apk | 1565477 | 2024-Oct-25 20:58 |
xsane-doc-0.999-r2.apk | 4145 | 2024-Oct-25 20:58 |
xsane-lang-0.999-r2.apk | 450631 | 2024-Oct-25 20:58 |
xsecurelock-1.9.0-r1.apk | 77223 | 2024-Oct-25 20:58 |
xsecurelock-doc-1.9.0-r1.apk | 17912 | 2024-Oct-25 20:58 |
xsoldier-1.8-r2.apk | 70506 | 2024-Oct-25 20:58 |
xsoldier-doc-1.8-r2.apk | 2417 | 2024-Oct-25 20:58 |
xtensor-0.27.0-r0.apk | 275922 | 2025-Aug-25 06:41 |
xtl-0.8.0-r0.apk | 91625 | 2025-Jul-28 22:13 |
xva-img-1.5-r0.apk | 17923 | 2024-Oct-25 20:58 |
xvidtune-1.0.4-r0.apk | 17514 | 2024-Oct-25 20:58 |
xvidtune-doc-1.0.4-r0.apk | 4005 | 2024-Oct-25 20:58 |
xvile-9.8z_p1-r1.apk | 837631 | 2025-Jun-30 09:24 |
xvkbd-4.1-r2.apk | 307713 | 2024-Oct-25 20:58 |
xvkbd-doc-4.1-r2.apk | 10765 | 2024-Oct-25 20:58 |
xwayland-satellite-0.7-r0.apk | 1051225 | 2025-Aug-30 22:13 |
yaegi-0.16.1-r10.apk | 7328359 | 2025-Aug-08 16:56 |
yamkix-0.10.0-r1.apk | 14302 | 2024-Oct-25 20:58 |
yamkix-pyc-0.10.0-r1.apk | 11795 | 2024-Oct-25 20:58 |
yaml-language-server-1.19.0-r2.apk | 760205 | 2025-Jul-28 16:23 |
yaml-language-server-doc-1.19.0-r2.apk | 2064 | 2025-Jul-28 16:23 |
yamldiff-0.3.0-r0.apk | 1712007 | 2025-Sep-01 05:32 |
yamldiff-doc-0.3.0-r0.apk | 2036 | 2025-Sep-01 05:32 |
yarn-berry-4.9.1-r0.apk | 1063807 | 2025-Apr-15 21:59 |
yaru-common-25.10.1-r0.apk | 4024583 | 2025-Jul-13 19:49 |
yaru-icon-theme-25.10.1-r0.apk | 37042771 | 2025-Jul-13 19:49 |
yaru-icon-theme-bark-25.10.1-r0.apk | 1187172 | 2025-Jul-13 19:49 |
yaru-icon-theme-blue-25.10.1-r0.apk | 1228250 | 2025-Jul-13 19:49 |
yaru-icon-theme-magenta-25.10.1-r0.apk | 1203878 | 2025-Jul-13 19:49 |
yaru-icon-theme-mate-25.10.1-r0.apk | 1398229 | 2025-Jul-13 19:49 |
yaru-icon-theme-olive-25.10.1-r0.apk | 1201352 | 2025-Jul-13 19:49 |
yaru-icon-theme-prussiangreen-25.10.1-r0.apk | 1214803 | 2025-Jul-13 19:49 |
yaru-icon-theme-purple-25.10.1-r0.apk | 1251220 | 2025-Jul-13 19:49 |
yaru-icon-theme-red-25.10.1-r0.apk | 1257317 | 2025-Jul-13 19:49 |
yaru-icon-theme-sage-25.10.1-r0.apk | 1236347 | 2025-Jul-13 19:49 |
yaru-icon-theme-viridian-25.10.1-r0.apk | 1212438 | 2025-Jul-13 19:49 |
yaru-schemas-25.10.1-r0.apk | 1631 | 2025-Jul-13 19:49 |
yaru-shell-25.10.1-r0.apk | 231952 | 2025-Jul-13 19:49 |
yaru-sounds-25.10.1-r0.apk | 1262632 | 2025-Jul-13 19:49 |
yaru-theme-25.10.1-r0.apk | 860294 | 2025-Jul-13 19:49 |
yaru-theme-bark-25.10.1-r0.apk | 780831 | 2025-Jul-13 19:49 |
yaru-theme-blue-25.10.1-r0.apk | 784172 | 2025-Jul-13 19:49 |
yaru-theme-hdpi-25.10.1-r0.apk | 74758 | 2025-Jul-13 19:49 |
yaru-theme-magenta-25.10.1-r0.apk | 779874 | 2025-Jul-13 19:49 |
yaru-theme-mate-25.10.1-r0.apk | 790215 | 2025-Jul-13 19:49 |
yaru-theme-olive-25.10.1-r0.apk | 777175 | 2025-Jul-13 19:49 |
yaru-theme-prussiangreen-25.10.1-r0.apk | 777678 | 2025-Jul-13 19:49 |
yaru-theme-purple-25.10.1-r0.apk | 774824 | 2025-Jul-13 19:49 |
yaru-theme-red-25.10.1-r0.apk | 777881 | 2025-Jul-13 19:49 |
yaru-theme-sage-25.10.1-r0.apk | 781245 | 2025-Jul-13 19:49 |
yaru-theme-viridian-25.10.1-r0.apk | 778235 | 2025-Jul-13 19:49 |
yass-2.5.0-r0.apk | 14775757 | 2024-Oct-25 20:58 |
ydcv-0.7-r8.apk | 20238 | 2024-Oct-25 20:58 |
ydcv-pyc-0.7-r8.apk | 10563 | 2024-Oct-25 20:58 |
ydcv-zsh-completion-0.7-r8.apk | 1938 | 2024-Oct-25 20:58 |
yices2-2.6.5-r0.apk | 2806370 | 2025-Mar-02 23:06 |
yices2-dev-2.6.5-r0.apk | 42118 | 2025-Mar-02 23:06 |
yices2-libs-2.6.5-r0.apk | 1037183 | 2025-Mar-02 23:06 |
yoshimi-2.3.3.3-r0.apk | 6385998 | 2025-Mar-13 22:33 |
yoshimi-doc-2.3.3.3-r0.apk | 4740404 | 2025-Mar-13 22:33 |
yosys-0.42-r1.apk | 22322242 | 2025-Jun-12 14:19 |
yosys-dev-0.42-r1.apk | 119408 | 2025-Jun-12 14:19 |
youtube-viewer-3.11.6-r0.apk | 85588 | 2025-Jun-09 05:05 |
youtube-viewer-doc-3.11.6-r0.apk | 41327 | 2025-Jun-09 05:05 |
youtube-viewer-gtk-3.11.6-r0.apk | 175451 | 2025-Jun-09 05:05 |
ytmdl-2024.08.15.1-r1.apk | 51109 | 2025-May-14 18:20 |
ytmdl-bash-completion-2024.08.15.1-r1.apk | 2058 | 2025-May-14 18:20 |
ytmdl-pyc-2024.08.15.1-r1.apk | 79710 | 2025-May-14 18:20 |
ytmdl-zsh-completion-2024.08.15.1-r1.apk | 1898 | 2025-May-14 18:20 |
ytt-0.52.0-r3.apk | 4591786 | 2025-Aug-08 16:56 |
yubikey-agent-0.1.6-r14.apk | 1872918 | 2025-Aug-08 16:56 |
z-1.12-r0.apk | 4450 | 2024-Oct-25 20:58 |
z-doc-1.12-r0.apk | 3742 | 2024-Oct-25 20:58 |
zafiro-icon-theme-1.3-r0.apk | 20169045 | 2024-Oct-25 20:58 |
zapret-0.0.0_git20220125-r1.apk | 92197 | 2024-Oct-25 20:58 |
zapret-doc-0.0.0_git20220125-r1.apk | 100560 | 2024-Oct-25 20:58 |
zapret-openrc-0.0.0_git20220125-r1.apk | 1868 | 2024-Oct-25 20:58 |
zarchive-0.1.2-r2.apk | 17403 | 2024-Oct-25 20:58 |
zarchive-dev-0.1.2-r2.apk | 6654 | 2024-Oct-25 20:58 |
zarchive-libs-0.1.2-r2.apk | 26433 | 2024-Oct-25 20:58 |
zfs-src-2.3.4-r0.apk | 34498664 | 2025-Aug-28 13:07 |
zfsbootmenu-2.3.0-r1.apk | 131062 | 2024-Oct-25 20:58 |
zfsbootmenu-doc-2.3.0-r1.apk | 15910 | 2024-Oct-25 20:58 |
zile-2.6.2-r1.apk | 127605 | 2024-Oct-25 20:58 |
zile-doc-2.6.2-r1.apk | 15920 | 2024-Oct-25 20:58 |
zita-njbridge-0.4.8-r1.apk | 31900 | 2024-Oct-25 20:58 |
zita-njbridge-doc-0.4.8-r1.apk | 5096 | 2024-Oct-25 20:58 |
zita-resampler-1.11.2-r0.apk | 27183 | 2025-Apr-14 21:17 |
zita-resampler-dev-1.11.2-r0.apk | 3070 | 2025-Apr-14 21:17 |
zita-resampler-doc-1.11.2-r0.apk | 3872 | 2025-Apr-14 21:17 |
zizmor-1.12.0-r0.apk | 4067631 | 2025-Aug-14 11:56 |
zizmor-doc-1.12.0-r0.apk | 2070 | 2025-Aug-14 11:56 |
zlevis-2.2-r0.apk | 5044 | 2025-Aug-01 23:08 |
zot-2.1.7-r1.apk | 74603638 | 2025-Aug-08 16:56 |
zot-cli-2.1.7-r1.apk | 10214775 | 2025-Aug-08 16:56 |
zot-cli-bash-completion-2.1.7-r1.apk | 5973 | 2025-Aug-08 16:56 |
zot-cli-fish-completion-2.1.7-r1.apk | 4150 | 2025-Aug-08 16:56 |
zot-cli-zsh-completion-2.1.7-r1.apk | 3870 | 2025-Aug-08 16:56 |
zot-doc-2.1.7-r1.apk | 9361 | 2025-Aug-08 16:56 |
zot-exporter-2.1.7-r1.apk | 3952460 | 2025-Aug-08 16:56 |
zot-openrc-2.1.7-r1.apk | 1784 | 2025-Aug-08 16:56 |
zrepl-0.6.1-r13.apk | 6805276 | 2025-Aug-08 16:56 |
zrepl-bash-completion-0.6.1-r13.apk | 4310 | 2025-Aug-08 16:56 |
zrepl-openrc-0.6.1-r13.apk | 1499 | 2025-Aug-08 16:56 |
zrepl-zsh-completion-0.6.1-r13.apk | 1730 | 2025-Aug-08 16:56 |
zsh-fzf-tab-0_git20220331-r1.apk | 16171 | 2024-Oct-25 20:58 |
zsh-histdb-skim-0.8.6-r0.apk | 805665 | 2024-Oct-25 20:58 |
zsh-manydots-magic-0_git20230607-r1.apk | 2666 | 2024-Oct-25 20:58 |
zutty-0.16-r0.apk | 161218 | 2025-Jan-12 21:01 |
zutty-doc-0.16-r0.apk | 67896 | 2025-Jan-12 21:01 |
zvbi-0.2.44-r0.apk | 183318 | 2025-Mar-11 21:05 |
zvbi-doc-0.2.44-r0.apk | 21288 | 2025-Mar-11 21:05 |
zycore-1.5.0-r1.apk | 22213 | 2025-Jul-11 04:51 |
zycore-dev-1.5.0-r1.apk | 38028 | 2025-Jul-11 04:51 |
zycore-doc-1.5.0-r1.apk | 442764 | 2025-Jul-11 04:51 |