| Parent directory/ | - | - |
| pdfcrack-0.21-r0.apk | 31651 | 2025-Nov-19 08:08 |
| APKINDEX.tar.gz | 843618 | 2025-Nov-19 08:08 |
| qt5ct-dev-1.9-r0.apk | 1581 | 2025-Nov-19 04:37 |
| qt5ct-1.9-r0.apk | 227829 | 2025-Nov-19 04:37 |
| wine-staging-doc-10.19-r0.apk | 43726 | 2025-Nov-19 04:25 |
| wine-staging-dev-10.19-r0.apk | 13725801 | 2025-Nov-19 04:25 |
| wine-staging-10.19-r0.apk | 137028257 | 2025-Nov-19 04:25 |
| phpactor-2025.10.17.0-r0.apk | 3757140 | 2025-Nov-19 04:05 |
| zfs-src-2.3.5-r0.apk | 34492257 | 2025-Nov-19 00:47 |
| semaphoreui-fish-completion-2.16.37-r0.apk | 4430 | 2025-Nov-19 00:28 |
| semaphoreui-zsh-completion-2.16.37-r0.apk | 4140 | 2025-Nov-19 00:28 |
| semaphoreui-openrc-2.16.37-r0.apk | 2147 | 2025-Nov-19 00:28 |
| semaphoreui-doc-2.16.37-r0.apk | 2378 | 2025-Nov-19 00:28 |
| semaphoreui-bash-completion-2.16.37-r0.apk | 6246 | 2025-Nov-19 00:28 |
| semaphoreui-2.16.37-r0.apk | 17098045 | 2025-Nov-19 00:28 |
| mautrix-zulip-openrc-0.2511.0-r0.apk | 2004 | 2025-Nov-18 21:33 |
| mautrix-linkedin-0.2511.0-r0.apk | 6921546 | 2025-Nov-18 21:33 |
| mautrix-linkedin-doc-0.2511.0-r0.apk | 13526 | 2025-Nov-18 21:33 |
| mautrix-linkedin-openrc-0.2511.0-r0.apk | 2015 | 2025-Nov-18 21:33 |
| mautrix-zulip-0.2511.0-r0.apk | 7050173 | 2025-Nov-18 21:33 |
| mautrix-zulip-doc-0.2511.0-r0.apk | 13523 | 2025-Nov-18 21:33 |
| gst-thumbnailers-0_git20251113-r0.apk | 558439 | 2025-Nov-18 20:53 |
| refine-lang-0.6.1-r0.apk | 32532 | 2025-Nov-18 20:53 |
| refine-0.6.1-r0.apk | 35032 | 2025-Nov-18 20:53 |
| melange-zsh-completion-0.33.2-r0.apk | 4138 | 2025-Nov-18 20:53 |
| melange-fish-completion-0.33.2-r0.apk | 4436 | 2025-Nov-18 20:53 |
| melange-bash-completion-0.33.2-r0.apk | 6787 | 2025-Nov-18 20:53 |
| melange-0.33.2-r0.apk | 12994701 | 2025-Nov-18 20:53 |
| iotas-pyc-0.12.5-r0.apk | 356073 | 2025-Nov-18 20:53 |
| iotas-lang-0.12.5-r0.apk | 163778 | 2025-Nov-18 20:53 |
| iotas-0.12.5-r0.apk | 230213 | 2025-Nov-18 20:53 |
| ibus-typing-booster-pyc-2.28.4-r0.apk | 1435508 | 2025-Nov-18 20:53 |
| ibus-typing-booster-lang-2.28.4-r0.apk | 322437 | 2025-Nov-18 20:53 |
| ibus-typing-booster-2.28.4-r0.apk | 13269837 | 2025-Nov-18 20:53 |
| gtimelog-pyc-0.12_git20251114-r0.apk | 104775 | 2025-Nov-18 20:53 |
| gtimelog-0.12_git20251114-r0.apk | 224515 | 2025-Nov-18 20:53 |
| rygel-systemd-45.0-r1.apk | 1789 | 2025-Nov-18 18:30 |
| rygel-lang-45.0-r1.apk | 597646 | 2025-Nov-18 18:30 |
| rygel-doc-45.0-r1.apk | 9905 | 2025-Nov-18 18:30 |
| rygel-dev-45.0-r1.apk | 43691 | 2025-Nov-18 18:30 |
| rygel-45.0-r1.apk | 785318 | 2025-Nov-18 18:30 |
| haproxy-dataplaneapi2-openrc-2.9.18-r1.apk | 2180 | 2025-Nov-18 16:12 |
| haproxy-dataplaneapi2-2.9.18-r1.apk | 11396337 | 2025-Nov-18 16:12 |
| grpc-java-1.77.0-r0.apk | 77974 | 2025-Nov-18 16:12 |
| qt6-qtgraphs-dev-6.10.0-r0.apk | 121120 | 2025-Nov-18 08:45 |
| qt6-qtgraphs-6.10.0-r0.apk | 1139936 | 2025-Nov-18 08:45 |
| hplip-3.25.8-r0.apk | 6012203 | 2025-Nov-18 06:12 |
| sane-backend-hpaio-3.25.8-r0.apk | 83665 | 2025-Nov-18 06:12 |
| hplip-ppd-3.25.8-r0.apk | 17611735 | 2025-Nov-18 06:12 |
| hplip-libs-3.25.8-r0.apk | 119649 | 2025-Nov-18 06:12 |
| hplip-doc-3.25.8-r0.apk | 31852 | 2025-Nov-18 06:12 |
| sdb-static-2.2.2-r0.apk | 90397 | 2025-Nov-18 05:36 |
| sdb-libs-2.2.2-r0.apk | 70205 | 2025-Nov-18 05:36 |
| sdb-doc-2.2.2-r0.apk | 15507 | 2025-Nov-18 05:36 |
| sdb-dev-2.2.2-r0.apk | 45378 | 2025-Nov-18 05:36 |
| sdb-2.2.2-r0.apk | 63252 | 2025-Nov-18 05:36 |
| miracle-wm-0.8.2-r0.apk | 465632 | 2025-Nov-18 03:25 |
| perl-xml-feed-doc-1.0.0-r0.apk | 13180 | 2025-Nov-18 03:25 |
| perl-xml-feed-1.0.0-r0.apk | 14656 | 2025-Nov-18 03:25 |
| miracle-wm-dev-0.8.2-r0.apk | 15869 | 2025-Nov-18 03:25 |
| dart-sass-1.94.0-r0.apk | 1459900 | 2025-Nov-18 03:25 |
| nzbget-openrc-25.4-r0.apk | 2125 | 2025-Nov-17 17:13 |
| nzbget-25.4-r0.apk | 5271373 | 2025-Nov-17 17:13 |
| radarr-6.0.4.10291-r0.apk | 29511140 | 2025-Nov-17 14:44 |
| sonarr-openrc-4.0.16.2944-r0.apk | 2092 | 2025-Nov-17 14:44 |
| sonarr-4.0.16.2944-r0.apk | 29601871 | 2025-Nov-17 14:44 |
| radarr-openrc-6.0.4.10291-r0.apk | 2091 | 2025-Nov-17 14:44 |
| py3-joserfc-1.4.2-r0.apk | 54083 | 2025-Nov-17 14:13 |
| py3-joserfc-pyc-1.4.2-r0.apk | 109870 | 2025-Nov-17 14:13 |
| mkbrr-1.18.0-r0.apk | 4560260 | 2025-Nov-17 14:11 |
| adguardhome-0.107.69-r0.apk | 12032991 | 2025-Nov-17 14:08 |
| adguardhome-openrc-0.107.69-r0.apk | 2248 | 2025-Nov-17 14:08 |
| xdg-desktop-portal-cosmic-1.0.0_beta6-r1.apk | 10044023 | 2025-Nov-17 13:11 |
| xdg-desktop-portal-cosmic-systemd-1.0.0_beta6-r1..> | 1808 | 2025-Nov-17 13:11 |
| pam-pkcs11-systemd-0.6.13-r1.apk | 1799 | 2025-Nov-17 13:11 |
| pam-pkcs11-doc-0.6.13-r1.apk | 14554 | 2025-Nov-17 13:11 |
| pam-pkcs11-0.6.13-r1.apk | 262115 | 2025-Nov-17 13:11 |
| mediascanner2-systemd-0.118-r4.apk | 1836 | 2025-Nov-17 13:11 |
| mediascanner2-0.118-r4.apk | 265296 | 2025-Nov-17 13:11 |
| lomiri-location-service-systemd-3.3.0-r5.apk | 2189 | 2025-Nov-17 13:11 |
| lomiri-location-service-lang-3.3.0-r5.apk | 26407 | 2025-Nov-17 13:11 |
| lomiri-location-service-doc-3.3.0-r5.apk | 2949 | 2025-Nov-17 13:11 |
| lomiri-location-service-dev-3.3.0-r5.apk | 30563 | 2025-Nov-17 13:11 |
| lomiri-location-service-3.3.0-r5.apk | 2143641 | 2025-Nov-17 13:11 |
| lomiri-indicator-location-systemd-25.4.22-r1.apk | 1824 | 2025-Nov-17 13:11 |
| lomiri-indicator-location-lang-25.4.22-r1.apk | 27916 | 2025-Nov-17 13:11 |
| lomiri-indicator-location-25.4.22-r1.apk | 21573 | 2025-Nov-17 13:11 |
| krdp-systemd-6.5.2-r1.apk | 2182 | 2025-Nov-17 13:11 |
| krdp-lang-6.5.2-r1.apk | 64458 | 2025-Nov-17 13:11 |
| krdp-6.5.2-r1.apk | 201666 | 2025-Nov-17 13:11 |
| kpatch-systemd-0.9.11-r1.apk | 1821 | 2025-Nov-17 13:11 |
| kpatch-doc-0.9.11-r1.apk | 3582 | 2025-Nov-17 13:11 |
| kpatch-0.9.11-r1.apk | 133856 | 2025-Nov-17 13:11 |
| cosmic-session-1.0.0_beta6-r1.apk | 1218340 | 2025-Nov-17 13:11 |
| bootchart2-systemd-0.14.9-r1.apk | 2271 | 2025-Nov-17 13:11 |
| bootchart2-0.14.9-r1.apk | 138363 | 2025-Nov-17 13:11 |
| 3proxy-systemd-0.9.5-r1.apk | 1891 | 2025-Nov-17 13:11 |
| 3proxy-openrc-0.9.5-r1.apk | 1735 | 2025-Nov-17 13:11 |
| 3proxy-doc-0.9.5-r1.apk | 27011 | 2025-Nov-17 13:11 |
| 3proxy-0.9.5-r1.apk | 391787 | 2025-Nov-17 13:11 |
| cosmic-session-systemd-1.0.0_beta6-r1.apk | 1812 | 2025-Nov-17 13:11 |
| tuned-utils-2.26.0-r1.apk | 10818 | 2025-Nov-17 13:11 |
| tuned-systemd-2.26.0-r1.apk | 2147 | 2025-Nov-17 13:11 |
| tuned-pyc-2.26.0-r1.apk | 343182 | 2025-Nov-17 13:11 |
| tuned-profiles-compat-2.26.0-r1.apk | 3668 | 2025-Nov-17 13:11 |
| tuned-profiles-2.26.0-r1.apk | 8515 | 2025-Nov-17 13:11 |
| tuned-ppd-openrc-2.26.0-r1.apk | 1799 | 2025-Nov-17 13:11 |
| tuned-ppd-2.26.0-r1.apk | 3782 | 2025-Nov-17 13:11 |
| tuned-openrc-2.26.0-r1.apk | 1744 | 2025-Nov-17 13:11 |
| tuned-gtk-2.26.0-r1.apk | 22524 | 2025-Nov-17 13:11 |
| tuned-doc-2.26.0-r1.apk | 80783 | 2025-Nov-17 13:11 |
| tuned-bash-completion-2.26.0-r1.apk | 1972 | 2025-Nov-17 13:11 |
| tuned-2.26.0-r1.apk | 164279 | 2025-Nov-17 13:11 |
| libvalkey-tls-0.2.1-r0.apk | 6453 | 2025-Nov-17 11:56 |
| libvalkey-dev-0.2.1-r0.apk | 347110 | 2025-Nov-17 11:56 |
| libvalkey-0.2.1-r0.apk | 60371 | 2025-Nov-17 11:56 |
| ghc-release-cabal-doc-3.17.0.0_pre20251116-r0.apk | 31621 | 2025-Nov-17 10:23 |
| ghc-release-cabal-3.17.0.0_pre20251116-r0.apk | 8492613 | 2025-Nov-17 10:23 |
| py3-dbus-fast-pyc-2.46.3-r0.apk | 132187 | 2025-Nov-16 18:38 |
| py3-dbus-fast-doc-2.46.3-r0.apk | 6395 | 2025-Nov-16 18:38 |
| py3-dbus-fast-2.46.3-r0.apk | 792578 | 2025-Nov-16 18:38 |
| sly-1.0.0-r4.apk | 4195017 | 2025-Nov-16 17:55 |
| polycule-0.3.4-r0.apk | 30751963 | 2025-Nov-16 17:55 |
| flutter-tool-developer-3.38.1-r0.apk | 1742 | 2025-Nov-16 17:55 |
| flutter-tool-3.38.1-r0.apk | 17102240 | 2025-Nov-16 17:55 |
| flutter-gtk-3.38.1-r0.apk | 5940864 | 2025-Nov-16 17:55 |
| flutter-glfw-3.38.1-r0.apk | 5907640 | 2025-Nov-16 17:55 |
| flutter-developer-3.38.1-r0.apk | 2625408 | 2025-Nov-16 17:55 |
| flutter-desktop-3.38.1-r0.apk | 28240244 | 2025-Nov-16 17:55 |
| goguma-0.8.0-r4.apk | 4760454 | 2025-Nov-16 17:55 |
| intiface-central-2.6.7-r3.apk | 11105538 | 2025-Nov-16 17:55 |
| fwallet-1.2.0-r7.apk | 8615932 | 2025-Nov-16 17:55 |
| dartaotruntime-3.10.0-r0.apk | 1567975 | 2025-Nov-16 17:55 |
| flutter-common-3.38.1-r0.apk | 37735119 | 2025-Nov-16 17:55 |
| flutter-3.38.1-r0.apk | 1300 | 2025-Nov-16 17:55 |
| dart-stage0-3.10.0_alpha244_p0-r0.apk | 190497777 | 2025-Nov-16 17:55 |
| dart-sdk-3.10.0-r0.apk | 142717844 | 2025-Nov-16 17:55 |
| dart-3.10.0-r0.apk | 45516888 | 2025-Nov-16 17:55 |
| phosh-tour-lang-0.50.0-r1.apk | 33685 | 2025-Nov-16 12:45 |
| phosh-tour-0.50.0-r1.apk | 39469 | 2025-Nov-16 12:45 |
| phosh-tour-systemd-0.50.0-r1.apk | 1821 | 2025-Nov-16 12:45 |
| ghc-current-doc-9.15_pre20251106-r0.apk | 6137 | 2025-Nov-16 07:29 |
| ghc-current-cmds-9.15_pre20251106-r0.apk | 3541 | 2025-Nov-16 07:29 |
| ghc-current-9.15_pre20251106-r0.apk | 143080554 | 2025-Nov-16 07:29 |
| mattermost-desktop-6.0.0-r0.apk | 5116146 | 2025-Nov-15 18:48 |
| httpx-1.7.2-r0.apk | 18363640 | 2025-Nov-15 13:24 |
| httpx-doc-1.7.2-r0.apk | 2337 | 2025-Nov-15 13:24 |
| naabu-2.3.6-r0.apk | 12671104 | 2025-Nov-15 13:16 |
| naabu-doc-2.3.6-r0.apk | 2334 | 2025-Nov-15 13:16 |
| nfcd-dev-1.2.3-r1.apk | 24953 | 2025-Nov-15 11:08 |
| nfcd-1.2.3-r1.apk | 343268 | 2025-Nov-15 11:08 |
| gnome-user-share-systemd-48.1-r2.apk | 1773 | 2025-Nov-15 11:08 |
| gnome-user-share-lang-48.1-r2.apk | 69550 | 2025-Nov-15 11:08 |
| gnome-user-share-48.1-r2.apk | 352149 | 2025-Nov-15 11:08 |
| nfcd-systemd-1.2.3-r1.apk | 1868 | 2025-Nov-15 11:08 |
| py3-caldav-pyc-2.1.2-r0.apk | 130579 | 2025-Nov-15 10:41 |
| py3-caldav-2.1.2-r0.apk | 96797 | 2025-Nov-15 10:41 |
| anubis-1.23.1-r0.apk | 12207477 | 2025-Nov-15 09:50 |
| anubis-openrc-1.23.1-r0.apk | 1896 | 2025-Nov-15 09:50 |
| anubis-doc-1.23.1-r0.apk | 2313 | 2025-Nov-15 09:50 |
| petitboot-doc-1.15-r0.apk | 8249 | 2025-Nov-14 23:27 |
| petitboot-1.15-r0.apk | 193542 | 2025-Nov-14 23:27 |
| petitboot-dbg-1.15-r0.apk | 606734 | 2025-Nov-14 23:27 |
| warpinator-lang-1.8.10-r1.apk | 237239 | 2025-Nov-14 23:27 |
| warpinator-nemo-1.8.10-r1.apk | 4406 | 2025-Nov-14 23:27 |
| warpinator-1.8.10-r1.apk | 222880 | 2025-Nov-14 23:27 |
| runst-doc-0.2.0-r0.apk | 8008 | 2025-Nov-14 23:27 |
| runst-0.2.0-r0.apk | 1977985 | 2025-Nov-14 23:27 |
| opentelemetry-cpp-exporter-zipkin-1.22.0-r3.apk | 46685 | 2025-Nov-14 23:27 |
| opentelemetry-cpp-exporter-otlp-http-1.22.0-r3.apk | 79592 | 2025-Nov-14 23:27 |
| opentelemetry-cpp-exporter-otlp-grpc-1.22.0-r3.apk | 51839 | 2025-Nov-14 23:27 |
| opentelemetry-cpp-exporter-otlp-common-1.22.0-r3..> | 45592 | 2025-Nov-14 23:27 |
| opentelemetry-cpp-dev-1.22.0-r3.apk | 517403 | 2025-Nov-14 23:27 |
| opentelemetry-cpp-1.22.0-r3.apk | 600303 | 2025-Nov-14 23:27 |
| go-tools-0.39.0-r0.apk | 49706230 | 2025-Nov-14 23:27 |
| davmail-6.5.1-r0.apk | 8720125 | 2025-Nov-14 23:27 |
| mkosi-25.3_git20251111-r0.apk | 298500 | 2025-Nov-14 21:57 |
| rio-terminfo-0.2.35-r0.apk | 3500 | 2025-Nov-14 21:57 |
| rio-doc-0.2.35-r0.apk | 2339 | 2025-Nov-14 21:57 |
| rio-0.2.35-r0.apk | 10610488 | 2025-Nov-14 21:57 |
| qt6ct-0.11-r1.apk | 194636 | 2025-Nov-14 21:57 |
| py3-pymupdf-pyc-1.26.4-r1.apk | 512266 | 2025-Nov-14 21:57 |
| py3-pymupdf-1.26.4-r1.apk | 325422 | 2025-Nov-14 21:57 |
| py3-gdcm-3.2.2-r2.apk | 683551 | 2025-Nov-14 21:57 |
| prometheus-fastly-exporter-10.0.0-r0.apk | 5228723 | 2025-Nov-14 21:57 |
| php82-pecl-yaml-2.3.0-r0.apk | 18395 | 2025-Nov-14 21:57 |
| php81-pecl-yaml-2.3.0-r0.apk | 18300 | 2025-Nov-14 21:57 |
| onionshare-pyc-2.6.3-r1.apk | 246249 | 2025-Nov-14 21:57 |
| onionshare-desktop-2.6.3-r1.apk | 1361181 | 2025-Nov-14 21:57 |
| onionshare-2.6.3-r1.apk | 183956 | 2025-Nov-14 21:57 |
| mtail-openrc-3.2.24-r0.apk | 2028 | 2025-Nov-14 21:57 |
| mtail-3.2.24-r0.apk | 14797430 | 2025-Nov-14 21:57 |
| mkosi-pyc-25.3_git20251111-r0.apk | 443832 | 2025-Nov-14 21:57 |
| mkosi-doc-25.3_git20251111-r0.apk | 76095 | 2025-Nov-14 21:57 |
| mint-y-theme-xfwm4-2.3.2-r0.apk | 208290 | 2025-Nov-14 21:57 |
| mint-y-theme-metacity-2.3.2-r0.apk | 56225 | 2025-Nov-14 21:57 |
| mint-y-theme-gtk4-2.3.2-r0.apk | 1742462 | 2025-Nov-14 21:57 |
| mint-y-theme-gtk3-2.3.2-r0.apk | 2076965 | 2025-Nov-14 21:57 |
| mint-y-theme-gtk2-2.3.2-r0.apk | 609086 | 2025-Nov-14 21:57 |
| mint-y-theme-2.3.2-r0.apk | 3631 | 2025-Nov-14 21:57 |
| mint-y-icons-doc-1.8.8-r0.apk | 12014 | 2025-Nov-14 21:57 |
| mint-y-icons-1.8.8-r0.apk | 76221933 | 2025-Nov-14 21:57 |
| kanidm-server-1.8.0-r0.apk | 15905353 | 2025-Nov-14 21:57 |
| mint-x-theme-gtk4-2.3.2-r0.apk | 515158 | 2025-Nov-14 21:57 |
| mint-x-theme-gtk3-2.3.2-r0.apk | 611677 | 2025-Nov-14 21:57 |
| mint-x-theme-gtk2-2.3.2-r0.apk | 463552 | 2025-Nov-14 21:57 |
| mint-x-theme-xfwm4-2.3.2-r0.apk | 32053 | 2025-Nov-14 21:57 |
| mint-x-theme-2.3.2-r0.apk | 2831 | 2025-Nov-14 21:57 |
| mint-x-icons-doc-1.7.4-r0.apk | 7777 | 2025-Nov-14 21:57 |
| mint-x-icons-1.7.4-r0.apk | 24059179 | 2025-Nov-14 21:57 |
| mint-themes-doc-2.3.2-r0.apk | 14623 | 2025-Nov-14 21:57 |
| mint-themes-2.3.2-r0.apk | 1931864 | 2025-Nov-14 21:57 |
| libgdcm-3.2.2-r2.apk | 2737222 | 2025-Nov-14 21:57 |
| kanidm-zsh-completion-1.8.0-r0.apk | 37686 | 2025-Nov-14 21:57 |
| kanidm-unixd-clients-1.8.0-r0.apk | 8570683 | 2025-Nov-14 21:57 |
| mint-x-theme-metacity-2.3.2-r0.apk | 6172 | 2025-Nov-14 21:57 |
| jq-lite-doc-1.43-r0.apk | 11546 | 2025-Nov-14 21:57 |
| kanidm-openrc-1.8.0-r0.apk | 2120 | 2025-Nov-14 21:57 |
| kanidm-clients-1.8.0-r0.apk | 3849355 | 2025-Nov-14 21:57 |
| kanidm-bash-completion-1.8.0-r0.apk | 27193 | 2025-Nov-14 21:57 |
| kanidm-1.8.0-r0.apk | 1309 | 2025-Nov-14 21:57 |
| jq-lite-1.43-r0.apk | 42237 | 2025-Nov-14 21:57 |
| java-gdcm-3.2.2-r2.apk | 632593 | 2025-Nov-14 21:57 |
| hyperrogue-doc-13.1g-r0.apk | 8176 | 2025-Nov-14 21:57 |
| hyperrogue-13.1g-r0.apk | 87785305 | 2025-Nov-14 21:57 |
| goshs-1.1.2-r0.apk | 6821580 | 2025-Nov-14 21:57 |
| goshs-doc-1.1.2-r0.apk | 2315 | 2025-Nov-14 21:57 |
| gdcm-doc-html-3.2.2-r2.apk | 9324298 | 2025-Nov-14 21:57 |
| gdcm-doc-3.2.2-r2.apk | 56717 | 2025-Nov-14 21:57 |
| gdcm-dev-3.2.2-r2.apk | 460740 | 2025-Nov-14 21:57 |
| gdcm-3.2.2-r2.apk | 424141 | 2025-Nov-14 21:57 |
| fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk | 8660 | 2025-Nov-14 21:57 |
| fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk | 20502 | 2025-Nov-14 21:57 |
| fcitx5-mozc-2.32.5994.102.20251109-r0.apk | 16439074 | 2025-Nov-14 21:57 |
| cosmic-workspaces-1.0.0_beta6-r0.apk | 6850705 | 2025-Nov-14 21:57 |
| cosmic-store-1.0.0_beta6-r0.apk | 9023081 | 2025-Nov-14 21:57 |
| cosmic-term-1.0.0_beta6-r0.apk | 9723454 | 2025-Nov-14 21:57 |
| gdcm-doc-pdf-3.2.2-r2.apk | 14814755 | 2025-Nov-14 21:57 |
| cosmic-player-1.0.0_beta6-r0.apk | 7041279 | 2025-Nov-14 21:57 |
| cosmic-settings-daemon-1.0.0_beta6-r0.apk | 1412898 | 2025-Nov-14 21:57 |
| cosmic-settings-1.0.0_beta6-r0.apk | 12310636 | 2025-Nov-14 21:57 |
| cosmic-screenshot-1.0.0_beta6-r0.apk | 925960 | 2025-Nov-14 21:57 |
| cosmic-randr-1.0.0_beta6-r0.apk | 538659 | 2025-Nov-14 21:57 |
| cosmic-panel-1.0.0_beta6-r0.apk | 3948415 | 2025-Nov-14 21:57 |
| cosmic-osd-1.0.0_beta6-r0.apk | 6371951 | 2025-Nov-14 21:57 |
| cosmic-notifications-1.0.0_beta6-r0.apk | 6047288 | 2025-Nov-14 21:57 |
| cosmic-launcher-1.0.0_beta6-r0.apk | 6031955 | 2025-Nov-14 21:57 |
| cosmic-initial-setup-1.0.0_beta6-r0.apk | 12717562 | 2025-Nov-14 21:57 |
| cosmic-idle-1.0.0_beta6-r0.apk | 1144232 | 2025-Nov-14 21:57 |
| cosmic-icons-1.0.0_beta6-r0.apk | 246950 | 2025-Nov-14 21:57 |
| cosmic-greeter-openrc-1.0.0_beta6-r0.apk | 2017 | 2025-Nov-14 21:57 |
| cosmic-greeter-1.0.0_beta6-r0.apk | 11537432 | 2025-Nov-14 21:57 |
| cosmic-files-1.0.0_beta6-r0.apk | 20755324 | 2025-Nov-14 21:57 |
| cosmic-bg-1.0.0_beta6-r0.apk | 1922540 | 2025-Nov-14 21:57 |
| biome-2.3.5-r0.apk | 7724206 | 2025-Nov-14 21:57 |
| cosmic-edit-1.0.0_beta6-r0.apk | 11187944 | 2025-Nov-14 21:57 |
| cosmic-comp-1.0.0_beta6-r0.apk | 7008348 | 2025-Nov-14 21:57 |
| cosmic-app-library-1.0.0_beta6-r0.apk | 7563589 | 2025-Nov-14 21:57 |
| cosmic-applets-1.0.0_beta6-r0.apk | 10579296 | 2025-Nov-14 21:57 |
| update-sysfs-2.1.1_p7-r0.apk | 3202 | 2025-Nov-12 10:44 |
| perl-badger-doc-0.16-r1.apk | 269059 | 2025-Nov-11 23:13 |
| perl-badger-0.16-r1.apk | 259201 | 2025-Nov-11 23:13 |
| stalwart-cli-0.13.3-r1.apk | 2786766 | 2025-Nov-11 21:41 |
| stalwart-mail-openrc-0.13.3-r1.apk | 2125 | 2025-Nov-11 21:41 |
| stalwart-mail-0.13.3-r1.apk | 15975004 | 2025-Nov-11 21:41 |
| php81-pecl-swoole-dev-6.1.2-r0.apk | 225497 | 2025-Nov-11 21:14 |
| php81-pecl-swoole-6.1.2-r0.apk | 951051 | 2025-Nov-11 21:14 |
| php82-pecl-swoole-6.1.2-r0.apk | 953179 | 2025-Nov-11 21:14 |
| php82-pecl-swoole-dev-6.1.2-r0.apk | 225484 | 2025-Nov-11 21:14 |
| oha-1.11.0-r0.apk | 3300272 | 2025-Nov-11 20:23 |
| oha-doc-1.11.0-r0.apk | 2287 | 2025-Nov-11 20:23 |
| py3-cython-test-exception-raiser-25.11.0-r0.apk | 18167 | 2025-Nov-11 19:23 |
| py3-cython-test-exception-raiser-pyc-25.11.0-r0...> | 1880 | 2025-Nov-11 19:23 |
| ovhcloud-cli-0.8.1-r0.apk | 7924149 | 2025-Nov-11 19:19 |
| packwiz-doc-0_git20251102-r0.apk | 2310 | 2025-Nov-11 11:29 |
| packwiz-0_git20251102-r0.apk | 5215298 | 2025-Nov-11 11:29 |
| calibre-doc-8.14.0-r0.apk | 2043918 | 2025-Nov-11 08:37 |
| calibre-zsh-completion-8.14.0-r0.apk | 46242 | 2025-Nov-11 08:37 |
| calibre-pyc-8.14.0-r0.apk | 2410 | 2025-Nov-11 08:37 |
| calibre-bash-completion-8.14.0-r0.apk | 5428 | 2025-Nov-11 08:37 |
| calibre-8.14.0-r0.apk | 43035559 | 2025-Nov-11 08:37 |
| zrc-2.5h_git20251110-r0.apk | 158170 | 2025-Nov-11 08:20 |
| austral-vim-0.2.0-r0.apk | 3743 | 2025-Nov-11 08:20 |
| austral-emacs-0.2.0-r0.apk | 2927 | 2025-Nov-11 08:20 |
| austral-doc-0.2.0-r0.apk | 4901 | 2025-Nov-11 08:20 |
| austral-0.2.0-r0.apk | 1291656 | 2025-Nov-11 08:20 |
| zrc-doc-2.5h_git20251110-r0.apk | 11249 | 2025-Nov-11 08:20 |
| py3-ciso8601-2.3.3-r0.apk | 15981 | 2025-Nov-11 03:03 |
| zulip-desktop-5.12.0-r6.apk | 2317400 | 2025-Nov-10 21:45 |
| vscodium-zsh-completion-1.105.17075-r1.apk | 2740 | 2025-Nov-10 21:45 |
| vscodium-bash-completion-1.105.17075-r1.apk | 2251 | 2025-Nov-10 21:45 |
| vscodium-1.105.17075-r1.apk | 30160468 | 2025-Nov-10 21:45 |
| signal-desktop-7.76.0-r1.apk | 48633514 | 2025-Nov-10 21:45 |
| element-desktop-1.12.3-r1.apk | 35667033 | 2025-Nov-10 21:45 |
| freetube-0.23.12-r1.apk | 1680409 | 2025-Nov-10 21:45 |
| electron-lang-39.1.1-r0.apk | 11292412 | 2025-Nov-10 21:45 |
| electron-dev-39.1.1-r0.apk | 349127 | 2025-Nov-10 21:45 |
| electron-39.1.1-r0.apk | 102109046 | 2025-Nov-10 21:45 |
| code-oss-bash-completion-1.105.1-r1.apk | 2257 | 2025-Nov-10 21:45 |
| code-oss-zsh-completion-1.105.1-r1.apk | 2742 | 2025-Nov-10 21:45 |
| code-oss-1.105.1-r1.apk | 27175024 | 2025-Nov-10 21:45 |
| caprine-2.60.3-r6.apk | 17131824 | 2025-Nov-10 21:45 |
| lomiri-telephony-service-0.6.1-r12.apk | 1004525 | 2025-Nov-10 16:03 |
| lomiri-telephony-service-lang-0.6.1-r12.apk | 110165 | 2025-Nov-10 16:03 |
| lomiri-history-service-dev-0.6-r17.apk | 11401 | 2025-Nov-10 16:03 |
| lomiri-history-service-0.6-r17.apk | 353253 | 2025-Nov-10 16:03 |
| qgis-server-3.44.4-r0.apk | 1980310 | 2025-Nov-10 05:46 |
| qgis-lang-3.44.4-r0.apk | 35070283 | 2025-Nov-10 05:46 |
| qgis-dev-3.44.4-r0.apk | 3759649 | 2025-Nov-10 05:46 |
| qgis-grass-3.44.4-r0.apk | 1502525 | 2025-Nov-10 05:46 |
| qgis-doc-3.44.4-r0.apk | 3122 | 2025-Nov-10 05:46 |
| qgis-3.44.4-r0.apk | 53223433 | 2025-Nov-10 05:46 |
| pympress-pyc-1.8.6-r0.apk | 186193 | 2025-Nov-10 05:46 |
| pympress-lang-1.8.6-r0.apk | 60201 | 2025-Nov-10 05:46 |
| pympress-doc-1.8.6-r0.apk | 76290 | 2025-Nov-10 05:46 |
| pympress-1.8.6-r0.apk | 183844 | 2025-Nov-10 05:46 |
| py3-qgis-3.44.4-r0.apk | 23493886 | 2025-Nov-10 05:46 |
| libspatialindex-2.1.0-r1.apk | 309499 | 2025-Nov-10 05:46 |
| libspatialindex-dev-2.1.0-r1.apk | 21931 | 2025-Nov-10 05:46 |
| py3-minio-7.2.18-r0.apk | 76666 | 2025-Nov-10 03:17 |
| py3-minio-pyc-7.2.18-r0.apk | 158795 | 2025-Nov-10 03:17 |
| py3-flask-security-pyc-5.6.2-r0.apk | 232672 | 2025-Nov-10 03:16 |
| py3-flask-security-5.6.2-r0.apk | 303866 | 2025-Nov-10 03:16 |
| dbmate-doc-2.28.0-r0.apk | 2319 | 2025-Nov-10 03:16 |
| dbmate-2.28.0-r0.apk | 11840375 | 2025-Nov-10 03:16 |
| eww-0.6.0-r0.apk | 3077370 | 2025-Nov-09 19:47 |
| py3-mistune1-pyc-0.8.4-r6.apk | 21989 | 2025-Nov-09 18:54 |
| py3-mistune1-0.8.4-r6.apk | 14770 | 2025-Nov-09 18:54 |
| gcli-doc-2.9.1-r0.apk | 38594 | 2025-Nov-09 16:39 |
| gcli-2.9.1-r0.apk | 129940 | 2025-Nov-09 16:39 |
| fheroes2-lang-1.1.12-r0.apk | 1810345 | 2025-Nov-09 15:37 |
| fheroes2-1.1.12-r0.apk | 1837746 | 2025-Nov-09 15:37 |
| hatch-pyc-1.15.1-r0.apk | 222134 | 2025-Nov-09 12:09 |
| hatch-1.15.1-r0.apk | 105289 | 2025-Nov-09 12:09 |
| ghc-release-doc-9.14.1_rc2-r0.apk | 6162 | 2025-Nov-09 10:15 |
| ghc-release-fllvm-9.14.1_rc2-r0.apk | 1355 | 2025-Nov-09 10:15 |
| ghc-release-9.14.1_rc2-r0.apk | 142342610 | 2025-Nov-09 10:15 |
| ghc-release-cmds-9.14.1_rc2-r0.apk | 3531 | 2025-Nov-09 10:15 |
| mlir-next-libs-22.0.0_pre20251108-r0.apk | 20016115 | 2025-Nov-09 09:38 |
| py3-clang-next-pyc-22.0.0_pre20251108-r0.apk | 62798 | 2025-Nov-09 09:38 |
| py3-clang-next-22.0.0_pre20251108-r0.apk | 35028 | 2025-Nov-09 09:38 |
| ocaml5-llvm-next-22.0.0_pre20251108-r0.apk | 693746 | 2025-Nov-09 09:38 |
| mlir-next-static-22.0.0_pre20251108-r0.apk | 51324719 | 2025-Nov-09 09:38 |
| llvm-next-static-22.0.0_pre20251108-r0.apk | 69556741 | 2025-Nov-09 09:38 |
| mlir-next-dev-22.0.0_pre20251108-r0.apk | 7419556 | 2025-Nov-09 09:38 |
| mlir-next-22.0.0_pre20251108-r0.apk | 20434462 | 2025-Nov-09 09:38 |
| llvm-next-test-utils-22.0.0_pre20251108-r0.apk | 604775 | 2025-Nov-09 09:38 |
| llvm-next-runtime-22.0.0_pre20251108-r0.apk | 504847 | 2025-Nov-09 09:38 |
| llvm-next-offload-22.0.0_pre20251108-r0.apk | 245524 | 2025-Nov-09 09:38 |
| llvm-next-lit-pyc-22.0.0_pre20251108-r0.apk | 166095 | 2025-Nov-09 09:38 |
| llvm-next-lit-22.0.0_pre20251108-r0.apk | 98167 | 2025-Nov-09 09:38 |
| llvm-next-linker-tools-22.0.0_pre20251108-r0.apk | 1389643 | 2025-Nov-09 09:38 |
| llvm-next-libunwind-static-22.0.0_pre20251108-r0..> | 25740 | 2025-Nov-09 09:38 |
| llvm-next-libunwind-dev-22.0.0_pre20251108-r0.apk | 21238 | 2025-Nov-09 09:38 |
| llvm-next-libunwind-22.0.0_pre20251108-r0.apk | 17332 | 2025-Nov-09 09:38 |
| llvm-next-libs-22.0.0_pre20251108-r0.apk | 34787114 | 2025-Nov-09 09:38 |
| llvm-next-libgcc-dev-22.0.0_pre20251108-r0.apk | 2579 | 2025-Nov-09 09:38 |
| llvm-next-libgcc-22.0.0_pre20251108-r0.apk | 55479 | 2025-Nov-09 09:38 |
| llvm-next-libc++-static-22.0.0_pre20251108-r0.apk | 709206 | 2025-Nov-09 09:38 |
| llvm-next-libc++-dev-22.0.0_pre20251108-r0.apk | 1844146 | 2025-Nov-09 09:38 |
| llvm-next-libc++-22.0.0_pre20251108-r0.apk | 379477 | 2025-Nov-09 09:38 |
| llvm-next-gtest-22.0.0_pre20251108-r0.apk | 471060 | 2025-Nov-09 09:38 |
| llvm-next-dev-22.0.0_pre20251108-r0.apk | 9575743 | 2025-Nov-09 09:38 |
| llvm-next-openmp-22.0.0_pre20251108-r0.apk | 623735 | 2025-Nov-09 09:38 |
| llvm-next-openmp-dev-22.0.0_pre20251108-r0.apk | 127972 | 2025-Nov-09 09:38 |
| llvm-next-polly-22.0.0_pre20251108-r0.apk | 2662314 | 2025-Nov-09 09:38 |
| llvm-next-offload-dev-22.0.0_pre20251108-r0.apk | 388511 | 2025-Nov-09 09:38 |
| lld-next-dev-22.0.0_pre20251108-r0.apk | 19022 | 2025-Nov-09 09:38 |
| llvm-next-22.0.0_pre20251108-r0.apk | 23518928 | 2025-Nov-09 09:38 |
| lldb-next-pyc-22.0.0_pre20251108-r0.apk | 321636 | 2025-Nov-09 09:38 |
| lldb-next-dev-22.0.0_pre20251108-r0.apk | 819162 | 2025-Nov-09 09:38 |
| lldb-next-22.0.0_pre20251108-r0.apk | 6962840 | 2025-Nov-09 09:38 |
| lld-next-libs-22.0.0_pre20251108-r0.apk | 1983934 | 2025-Nov-09 09:38 |
| lld-next-22.0.0_pre20251108-r0.apk | 7895 | 2025-Nov-09 09:38 |
| libclc-next-22.0.0_pre20251108-r0.apk | 12553199 | 2025-Nov-09 09:38 |
| flang-next-static-22.0.0_pre20251108-r0.apk | 49647279 | 2025-Nov-09 09:38 |
| clang-next-static-22.0.0_pre20251108-r0.apk | 34803833 | 2025-Nov-09 09:38 |
| flang-next-dev-22.0.0_pre20251108-r0.apk | 1112428 | 2025-Nov-09 09:38 |
| flang-next-22.0.0_pre20251108-r0.apk | 24187424 | 2025-Nov-09 09:38 |
| clang-next-rtlib-atomic-22.0.0_pre20251108-r0.apk | 8500 | 2025-Nov-09 09:38 |
| clang-next-rtlib-22.0.0_pre20251108-r0.apk | 15356571 | 2025-Nov-09 09:38 |
| clang-next-libs-22.0.0_pre20251108-r0.apk | 16490121 | 2025-Nov-09 09:38 |
| clang-next-libclang-22.0.0_pre20251108-r0.apk | 9623354 | 2025-Nov-09 09:38 |
| clang-next-headers-22.0.0_pre20251108-r0.apk | 1037834 | 2025-Nov-09 09:38 |
| clang-next-dev-22.0.0_pre20251108-r0.apk | 4056500 | 2025-Nov-09 09:38 |
| clang-next-ccache-22.0.0_pre20251108-r0.apk | 1701 | 2025-Nov-09 09:38 |
| build-next-bfd-22.0.0_pre20251108-r0.apk | 928322 | 2025-Nov-09 09:38 |
| build-next-22.0.0_pre20251108-r0.apk | 1634 | 2025-Nov-09 09:38 |
| clang-next-rtlib-scudo-22.0.0_pre20251108-r0.apk | 40014 | 2025-Nov-09 09:38 |
| clang-next-22.0.0_pre20251108-r0.apk | 9706243 | 2025-Nov-09 09:38 |
| build-next-overlay-22.0.0_pre20251108-r0.apk | 3009 | 2025-Nov-09 09:38 |
| build-next-gas-22.0.0_pre20251108-r0.apk | 851823 | 2025-Nov-09 09:38 |
| memray-1.19.1-r0.apk | 1881349 | 2025-Nov-08 15:41 |
| memray-pyc-1.19.1-r0.apk | 98666 | 2025-Nov-08 15:41 |
| cinny-web-4.10.2-r0.apk | 6119767 | 2025-Nov-08 07:26 |
| libntl-11.6.0-r0.apk | 1190309 | 2025-Nov-08 07:11 |
| libntl-static-11.6.0-r0.apk | 1721323 | 2025-Nov-08 07:11 |
| libntl-doc-11.6.0-r0.apk | 374300 | 2025-Nov-08 07:11 |
| libntl-dev-11.6.0-r0.apk | 161236 | 2025-Nov-08 07:11 |
| flint-dev-3.3.1-r1.apk | 318630 | 2025-Nov-08 07:11 |
| flint-3.3.1-r1.apk | 4470916 | 2025-Nov-08 07:11 |
| eclib-static-20250627-r1.apk | 21190293 | 2025-Nov-08 07:11 |
| eclib-libs-20250627-r1.apk | 1309427 | 2025-Nov-08 07:11 |
| eclib-doc-20250627-r1.apk | 28619 | 2025-Nov-08 07:11 |
| eclib-dev-20250627-r1.apk | 98077 | 2025-Nov-08 07:11 |
| eclib-20250627-r1.apk | 333741 | 2025-Nov-08 07:11 |
| texstudio-4.9.0-r0.apk | 86462813 | 2025-Nov-08 01:10 |
| php81-pecl-redis-6.3.0-r0.apk | 184660 | 2025-Nov-07 23:32 |
| protoc-gen-js-4.0.0-r0.apk | 1773945 | 2025-Nov-07 23:32 |
| php85-pecl-ev-1.2.2-r0.apk | 40566 | 2025-Nov-07 23:32 |
| php84-pecl-ev-1.2.2-r0.apk | 40564 | 2025-Nov-07 23:32 |
| php83-pecl-ev-1.2.2-r0.apk | 40521 | 2025-Nov-07 23:32 |
| php82-pecl-redis-6.3.0-r0.apk | 185961 | 2025-Nov-07 23:32 |
| typlite-0.14.0-r0.apk | 16009248 | 2025-Nov-07 17:28 |
| wayfarer-1.4.0-r0.apk | 64177 | 2025-Nov-07 17:28 |
| tinymist-0.14.0-r0.apk | 20616969 | 2025-Nov-07 17:28 |
| makedumpfile-1.7.8-r0.apk | 193727 | 2025-Nov-07 17:28 |
| lspmux-doc-0.3.0-r0.apk | 6786 | 2025-Nov-07 17:28 |
| lspmux-0.3.0-r0.apk | 995693 | 2025-Nov-07 17:28 |
| gpsbabel-lang-1.10.0-r0.apk | 90125 | 2025-Nov-07 17:28 |
| gpsbabel-1.10.0-r0.apk | 1518236 | 2025-Nov-07 17:28 |
| odin-vendor-0.2025.11-r0.apk | 3893880 | 2025-Nov-07 17:28 |
| odin-doc-0.2025.11-r0.apk | 4599 | 2025-Nov-07 17:28 |
| odin-0.2025.11-r0.apk | 3301716 | 2025-Nov-07 17:28 |
| mimalloc1-insecure-1.9.4-r0.apk | 71968 | 2025-Nov-07 17:28 |
| mimalloc1-dev-1.9.4-r0.apk | 524399 | 2025-Nov-07 17:28 |
| mimalloc1-debug-1.9.4-r0.apk | 215368 | 2025-Nov-07 17:28 |
| mimalloc1-1.9.4-r0.apk | 78385 | 2025-Nov-07 17:28 |
| makedumpfile-openrc-1.7.8-r0.apk | 3224 | 2025-Nov-07 17:28 |
| makedumpfile-doc-1.7.8-r0.apk | 24243 | 2025-Nov-07 17:28 |
| flare-engine-doc-1.14-r1.apk | 2523 | 2025-Nov-07 17:28 |
| flare-engine-1.14-r1.apk | 4653765 | 2025-Nov-07 17:28 |
| dnscontrol-doc-4.27.1-r0.apk | 2341 | 2025-Nov-07 17:28 |
| dnscontrol-4.27.1-r0.apk | 16439442 | 2025-Nov-07 17:28 |
| argocd-zsh-completion-3.2.0-r0.apk | 4138 | 2025-Nov-07 17:28 |
| argocd-doc-3.2.0-r0.apk | 5636 | 2025-Nov-07 17:28 |
| argocd-bash-completion-3.2.0-r0.apk | 22170 | 2025-Nov-07 17:28 |
| argocd-3.2.0-r0.apk | 46186163 | 2025-Nov-07 17:28 |
| victoria-logs-1.37.2-r0.apk | 5672534 | 2025-Nov-04 20:18 |
| victoria-logs-vlagent-1.37.2-r0.apk | 4080075 | 2025-Nov-04 20:18 |
| victoria-logs-openrc-1.37.2-r0.apk | 2421 | 2025-Nov-04 20:18 |
| victoria-logs-vlogscli-1.37.2-r0.apk | 3506127 | 2025-Nov-04 20:18 |
| postgresql-pg_variables-bitcode-1.2.5_git2023092..> | 46580 | 2025-Nov-03 16:16 |
| postgresql-pg_variables-1.2.5_git20230922-r1.apk | 23846 | 2025-Nov-03 16:16 |
| py3-minikerberos-pyc-0.4.9-r0.apk | 294184 | 2025-Nov-03 08:48 |
| py3-minikerberos-examples-0.4.9-r0.apk | 17777 | 2025-Nov-03 08:48 |
| py3-minikerberos-0.4.9-r0.apk | 126163 | 2025-Nov-03 08:48 |
| py3-asysocks-pyc-0.2.18-r0.apk | 327179 | 2025-Nov-03 08:48 |
| py3-asysocks-examples-0.2.18-r0.apk | 36506 | 2025-Nov-03 08:48 |
| py3-asysocks-0.2.18-r0.apk | 90426 | 2025-Nov-03 08:48 |
| py3-asyauth-pyc-0.0.23-r0.apk | 190176 | 2025-Nov-03 08:48 |
| py3-asyauth-0.0.23-r0.apk | 86121 | 2025-Nov-03 08:48 |
| py3-aiowinreg-pyc-0.0.13-r0.apk | 45057 | 2025-Nov-03 08:48 |
| py3-aiowinreg-0.0.13-r0.apk | 22238 | 2025-Nov-03 08:48 |
| py3-aiosmb-pyc-0.4.14-r0.apk | 1213116 | 2025-Nov-03 08:48 |
| py3-aiosmb-examples-0.4.14-r0.apk | 38429 | 2025-Nov-03 08:48 |
| py3-aiosmb-0.4.14-r0.apk | 599479 | 2025-Nov-03 08:48 |
| py3-aesedb-pyc-0.1.8-r0.apk | 76756 | 2025-Nov-03 08:48 |
| py3-aesedb-examples-0.1.8-r0.apk | 3506 | 2025-Nov-03 08:48 |
| py3-aesedb-0.1.8-r0.apk | 35305 | 2025-Nov-03 08:48 |
| lxd-vm-5.0.3-r16.apk | 1367 | 2025-Nov-03 08:48 |
| lxd-scripts-5.0.3-r16.apk | 27933315 | 2025-Nov-03 08:48 |
| py3-unidns-pyc-0.0.4-r0.apk | 25579 | 2025-Nov-03 08:48 |
| py3-unidns-examples-0.0.4-r0.apk | 2669 | 2025-Nov-03 08:48 |
| py3-unidns-0.0.4-r0.apk | 14719 | 2025-Nov-03 08:48 |
| py3-unicrypto-pyc-0.0.12-r0.apk | 94386 | 2025-Nov-03 08:48 |
| py3-unicrypto-0.0.12-r0.apk | 59991 | 2025-Nov-03 08:48 |
| lxd-openrc-5.0.3-r16.apk | 2608 | 2025-Nov-03 08:48 |
| lxd-bash-completion-5.0.3-r16.apk | 5181 | 2025-Nov-03 08:48 |
| lxd-5.0.3-r16.apk | 15509514 | 2025-Nov-03 08:48 |
| lxd-client-5.0.3-r16.apk | 6689157 | 2025-Nov-03 08:48 |
| freshrss-openrc-1.27.1-r0.apk | 2557 | 2025-Nov-03 08:30 |
| freshrss-lang-1.27.1-r0.apk | 510925 | 2025-Nov-03 08:30 |
| freshrss-doc-1.27.1-r0.apk | 866577 | 2025-Nov-03 08:30 |
| freshrss-1.27.1-r0.apk | 1708717 | 2025-Nov-03 08:30 |
| freshrss-mysql-1.27.1-r0.apk | 1302 | 2025-Nov-03 08:30 |
| freshrss-themes-1.27.1-r0.apk | 1572143 | 2025-Nov-03 08:30 |
| freshrss-sqlite-1.27.1-r0.apk | 1303 | 2025-Nov-03 08:30 |
| freshrss-pgsql-1.27.1-r0.apk | 1304 | 2025-Nov-03 08:30 |
| lbb-doc-0.10.4-r0.apk | 20765 | 2025-Nov-02 22:30 |
| lbb-0.10.4-r0.apk | 3509271 | 2025-Nov-02 22:30 |
| rtl8192eu-src-5.11.2.1_git20250812-r0.apk | 2715813 | 2025-Nov-02 21:34 |
| onevpl-intel-gpu-dev-25.3.4-r0.apk | 1851 | 2025-Nov-02 20:59 |
| onevpl-intel-gpu-25.3.4-r0.apk | 2458385 | 2025-Nov-02 20:59 |
| tinygltf-dev-2.9.7-r0.apk | 58541 | 2025-Nov-02 20:50 |
| tinygltf-2.9.7-r0.apk | 146880 | 2025-Nov-02 20:50 |
| gede-2.22.1-r0.apk | 413482 | 2025-Nov-02 20:48 |
| libabigail-tools-2.8-r0.apk | 125542 | 2025-Nov-02 19:38 |
| libabigail-doc-2.8-r0.apk | 78659 | 2025-Nov-02 19:38 |
| libabigail-dev-2.8-r0.apk | 1550578 | 2025-Nov-02 19:38 |
| libabigail-bash-completion-2.8-r0.apk | 2890 | 2025-Nov-02 19:38 |
| libabigail-2.8-r0.apk | 1023841 | 2025-Nov-02 19:38 |
| py3-owslib-pyc-0.35.0-r0.apk | 435070 | 2025-Nov-02 19:15 |
| py3-owslib-0.35.0-r0.apk | 199975 | 2025-Nov-02 19:15 |
| tomcat9-openrc-9.0.111-r0.apk | 4718 | 2025-Nov-02 18:53 |
| tomcat9-examples-9.0.111-r0.apk | 449127 | 2025-Nov-02 18:53 |
| tomcat9-doc-9.0.111-r0.apk | 1808205 | 2025-Nov-02 18:53 |
| tomcat9-admin-9.0.111-r0.apk | 130176 | 2025-Nov-02 18:53 |
| tomcat9-9.0.111-r0.apk | 7085472 | 2025-Nov-02 18:53 |
| qownnotes-lang-25.10.4-r0.apk | 5100818 | 2025-Nov-02 18:29 |
| qownnotes-25.10.4-r0.apk | 2705106 | 2025-Nov-02 18:29 |
| cocogitto-zsh-completion-6.5.0-r0.apk | 3249 | 2025-Nov-02 18:21 |
| cocogitto-fish-completion-6.5.0-r0.apk | 3843 | 2025-Nov-02 18:21 |
| cocogitto-doc-6.5.0-r0.apk | 40447 | 2025-Nov-02 18:21 |
| cocogitto-bash-completion-6.5.0-r0.apk | 3243 | 2025-Nov-02 18:21 |
| cocogitto-6.5.0-r0.apk | 1874651 | 2025-Nov-02 18:21 |
| wiki-tui-doc-0.9.1-r0.apk | 4642 | 2025-Nov-02 18:10 |
| wiki-tui-0.9.1-r0.apk | 2165592 | 2025-Nov-02 18:10 |
| ovos-core-pyc-2.1.0-r0.apk | 59711 | 2025-Nov-02 15:56 |
| ovos-core-2.1.0-r0.apk | 50180 | 2025-Nov-02 15:56 |
| emacs-llama-1.0.2-r0.apk | 12905 | 2025-Nov-02 15:25 |
| reprotest-pyc-0.7.32-r0.apk | 105385 | 2025-Nov-02 14:06 |
| reprotest-0.7.32-r0.apk | 82213 | 2025-Nov-02 14:06 |
| cpp-httplib-doc-0.27.0-r0.apk | 13718 | 2025-Nov-02 10:49 |
| cpp-httplib-0.27.0-r0.apk | 86105 | 2025-Nov-02 10:49 |
| bazaar-systemd-0.5.8-r0.apk | 1772 | 2025-Nov-02 09:54 |
| bazaar-lang-0.5.8-r0.apk | 111627 | 2025-Nov-02 09:54 |
| bazaar-0.5.8-r0.apk | 373086 | 2025-Nov-02 09:54 |
| rustical-0.9.14-r0.apk | 4608028 | 2025-Nov-02 07:58 |
| syncwhen-systemd-0.3-r0.apk | 1817 | 2025-Nov-01 17:26 |
| syncwhen-0.3-r0.apk | 5415 | 2025-Nov-01 17:26 |
| py3-beartype-pyc-0.22.5-r0.apk | 758207 | 2025-Nov-01 16:52 |
| py3-beartype-0.22.5-r0.apk | 1062273 | 2025-Nov-01 16:52 |
| warp-s3-1.3.1-r0.apk | 8950460 | 2025-Nov-01 15:22 |
| wbg-1.3.0-r1.apk | 40741 | 2025-Nov-01 15:12 |
| pypy3-tkinter-7.3.19-r0.apk | 305968 | 2025-Nov-01 15:12 |
| pypy3-tests-7.3.19-r0.apk | 13483740 | 2025-Nov-01 15:12 |
| pypy3-pyc-7.3.19-r0.apk | 6127922 | 2025-Nov-01 15:12 |
| pypy3-dev-7.3.19-r0.apk | 586436 | 2025-Nov-01 15:12 |
| pypy3-7.3.19-r0.apk | 18377770 | 2025-Nov-01 15:12 |
| pypy-tkinter-7.3.19-r0.apk | 456975 | 2025-Nov-01 15:12 |
| pypy-dev-7.3.19-r0.apk | 76428 | 2025-Nov-01 15:12 |
| pypy-bootstrap-7.3.19-r0.apk | 19451761 | 2025-Nov-01 15:12 |
| pypy-7.3.19-r0.apk | 18763331 | 2025-Nov-01 15:12 |
| bazel7-bash-completion-7.7.0-r0.apk | 49144 | 2025-Nov-01 10:16 |
| bazel7-7.7.0-r0.apk | 31931757 | 2025-Nov-01 10:16 |
| cpufetch-doc-1.07-r0.apk | 3264 | 2025-Nov-01 10:01 |
| cpufetch-1.07-r0.apk | 46791 | 2025-Nov-01 10:01 |
| borogove-sdk-0_git20251014-r0.apk | 3148362 | 2025-Nov-01 02:26 |
| snapraid-doc-13.0-r0.apk | 19441 | 2025-Nov-01 00:21 |
| snapraid-13.0-r0.apk | 291130 | 2025-Nov-01 00:21 |
| gmsh-py-4.15.0-r0.apk | 6902 | 2025-Nov-01 00:21 |
| gmsh-doc-4.15.0-r0.apk | 2034548 | 2025-Nov-01 00:21 |
| gmsh-dbg-4.15.0-r0.apk | 158283403 | 2025-Nov-01 00:21 |
| gmsh-4.15.0-r0.apk | 9368721 | 2025-Nov-01 00:21 |
| jreleaser-doc-1.21.0-r0.apk | 6076 | 2025-Oct-31 17:31 |
| jreleaser-1.21.0-r0.apk | 42402167 | 2025-Oct-31 17:31 |
| xonsh-pyc-0.19.9-r0.apk | 1073901 | 2025-Oct-31 15:15 |
| xonsh-0.19.9-r0.apk | 603097 | 2025-Oct-31 15:15 |
| stacker-doc-1.1.3-r0.apk | 16081 | 2025-Oct-31 15:15 |
| stacker-1.1.3-r0.apk | 31321672 | 2025-Oct-31 15:15 |
| doasedit-1.0.9-r0.apk | 3545 | 2025-Oct-31 15:15 |
| cargo-leptos-doc-0.2.47-r0.apk | 2286 | 2025-Oct-31 15:15 |
| cargo-leptos-0.2.47-r0.apk | 8951338 | 2025-Oct-31 15:15 |
| kmscon-systemd-9.1.0-r1.apk | 2764 | 2025-Oct-30 09:26 |
| kmscon-doc-9.1.0-r1.apk | 8596 | 2025-Oct-30 09:26 |
| kmscon-9.1.0-r1.apk | 861276 | 2025-Oct-30 09:26 |
| cargo-show-asm-doc-0.2.53-r0.apk | 10238 | 2025-Oct-30 00:23 |
| cargo-show-asm-0.2.53-r0.apk | 886158 | 2025-Oct-30 00:23 |
| i3bar-river-systemd-1.1.0-r1.apk | 1923 | 2025-Oct-29 22:51 |
| i3bar-river-openrc-1.1.0-r1.apk | 1752 | 2025-Oct-29 22:51 |
| i3bar-river-1.1.0-r1.apk | 523294 | 2025-Oct-29 22:51 |
| trivy-0.67.2-r0.apk | 72098639 | 2025-Oct-29 09:41 |
| gprbuild-25.0.0-r0.apk | 13165993 | 2025-Oct-29 02:54 |
| wgcf-zsh-completion-2.2.29-r0.apk | 4135 | 2025-Oct-28 23:34 |
| wgcf-fish-completion-2.2.29-r0.apk | 4418 | 2025-Oct-28 23:34 |
| wgcf-bash-completion-2.2.29-r0.apk | 6235 | 2025-Oct-28 23:34 |
| wgcf-2.2.29-r0.apk | 5013120 | 2025-Oct-28 23:34 |
| opcr-policy-0.3.1-r0.apk | 10942338 | 2025-Oct-28 23:34 |
| knative-client-zsh-completion-1.19.6-r0.apk | 4134 | 2025-Oct-28 23:34 |
| knative-client-fish-completion-1.19.6-r0.apk | 4410 | 2025-Oct-28 23:34 |
| knative-client-bash-completion-1.19.6-r0.apk | 10393 | 2025-Oct-28 23:34 |
| knative-client-1.19.6-r0.apk | 25158971 | 2025-Oct-28 23:34 |
| helm-ls-doc-0.5.3-r0.apk | 2306 | 2025-Oct-28 11:49 |
| helm-ls-0.5.3-r0.apk | 20049043 | 2025-Oct-28 11:49 |
| cargo-update-doc-18.0.0-r0.apk | 8710 | 2025-Oct-28 07:26 |
| cargo-update-18.0.0-r0.apk | 1174171 | 2025-Oct-28 07:26 |
| helm-unittest-1.0.3-r0.apk | 13204653 | 2025-Oct-28 07:24 |
| helm-mapkubeapis-0.6.1-r0.apk | 22833515 | 2025-Oct-28 07:24 |
| helm-diff-3.13.1-r0.apk | 23380254 | 2025-Oct-28 07:24 |
| cloud-hypervisor-doc-48.0-r0.apk | 69782 | 2025-Oct-28 07:21 |
| cloud-hypervisor-48.0-r0.apk | 2948403 | 2025-Oct-28 07:21 |
| ticker-zsh-completion-5.0.7-r6.apk | 4156 | 2025-Oct-28 07:12 |
| ticker-fish-completion-5.0.7-r6.apk | 4437 | 2025-Oct-28 07:12 |
| ticker-bash-completion-5.0.7-r6.apk | 6257 | 2025-Oct-28 07:12 |
| ticker-5.0.7-r6.apk | 3826839 | 2025-Oct-28 07:12 |
| protoc-gen-go-1.36.10-r0.apk | 2515300 | 2025-Oct-28 07:11 |
| linuxkit-doc-1.8.2-r0.apk | 10511 | 2025-Oct-28 07:10 |
| linuxkit-1.8.2-r0.apk | 14712102 | 2025-Oct-28 07:10 |
| regal-zsh-completion-0.36.1-r0.apk | 4146 | 2025-Oct-28 07:08 |
| regal-fish-completion-0.36.1-r0.apk | 4428 | 2025-Oct-28 07:08 |
| regal-bash-completion-0.36.1-r0.apk | 6249 | 2025-Oct-28 07:08 |
| regal-0.36.1-r0.apk | 12847689 | 2025-Oct-28 07:08 |
| please-build-zsh-completion-17.22.0-r0.apk | 1985 | 2025-Oct-28 07:04 |
| please-build-tools-17.22.0-r0.apk | 12049302 | 2025-Oct-28 07:04 |
| please-build-bash-completion-17.22.0-r0.apk | 1987 | 2025-Oct-28 07:04 |
| please-build-17.22.0-r0.apk | 8005069 | 2025-Oct-28 07:04 |
| tailspin-zsh-completion-5.5.0-r0.apk | 2520 | 2025-Oct-28 06:57 |
| tailspin-fish-completion-5.5.0-r0.apk | 2162 | 2025-Oct-28 06:57 |
| tailspin-doc-5.5.0-r0.apk | 3078 | 2025-Oct-28 06:57 |
| tailspin-bash-completion-5.5.0-r0.apk | 2295 | 2025-Oct-28 06:57 |
| tailspin-5.5.0-r0.apk | 1195662 | 2025-Oct-28 06:57 |
| virtctl-fish-completion-1.6.2-r0.apk | 4431 | 2025-Oct-28 01:54 |
| virtctl-zsh-completion-1.6.2-r0.apk | 4143 | 2025-Oct-28 01:54 |
| virtctl-bash-completion-1.6.2-r0.apk | 5249 | 2025-Oct-28 01:54 |
| virtctl-1.6.2-r0.apk | 16469784 | 2025-Oct-28 01:54 |
| upterm-zsh-completion-0.17.0-r0.apk | 4141 | 2025-Oct-28 01:54 |
| upterm-server-openrc-0.17.0-r0.apk | 2006 | 2025-Oct-28 01:54 |
| upterm-server-0.17.0-r0.apk | 7303702 | 2025-Oct-28 01:54 |
| upterm-doc-0.17.0-r0.apk | 7216 | 2025-Oct-28 01:54 |
| upterm-bash-completion-0.17.0-r0.apk | 5693 | 2025-Oct-28 01:54 |
| upterm-0.17.0-r0.apk | 7736085 | 2025-Oct-28 01:54 |
| tealdeer-zsh-completion-1.8.0-r0.apk | 2441 | 2025-Oct-28 01:54 |
| tealdeer-fish-completion-1.8.0-r0.apk | 2327 | 2025-Oct-28 01:54 |
| tealdeer-bash-completion-1.8.0-r0.apk | 2077 | 2025-Oct-28 01:54 |
| tealdeer-1.8.0-r0.apk | 830283 | 2025-Oct-28 01:54 |
| tanka-0.35.0-r0.apk | 7836464 | 2025-Oct-28 01:54 |
| rankwidth-static-0.9-r4.apk | 4367 | 2025-Oct-28 01:54 |
| rankwidth-libs-0.9-r4.apk | 4881 | 2025-Oct-28 01:54 |
| rankwidth-doc-0.9-r4.apk | 2984 | 2025-Oct-28 01:54 |
| rankwidth-dev-0.9-r4.apk | 2997 | 2025-Oct-28 01:54 |
| rankwidth-0.9-r4.apk | 5397 | 2025-Oct-28 01:54 |
| py3-igraph-pyc-1.0.0-r0.apk | 383378 | 2025-Oct-28 01:54 |
| py3-igraph-dev-1.0.0-r0.apk | 2665 | 2025-Oct-28 01:54 |
| py3-igraph-1.0.0-r0.apk | 418756 | 2025-Oct-28 01:54 |
| lima-zsh-completion-1.2.1-r0.apk | 4138 | 2025-Oct-28 01:54 |
| lima-guestagent-1.2.1-r0.apk | 14870912 | 2025-Oct-28 01:54 |
| lima-fish-completion-1.2.1-r0.apk | 4430 | 2025-Oct-28 01:54 |
| lima-doc-1.2.1-r0.apk | 63782 | 2025-Oct-28 01:54 |
| lima-bash-completion-1.2.1-r0.apk | 6245 | 2025-Oct-28 01:54 |
| lima-1.2.1-r0.apk | 11890371 | 2025-Oct-28 01:54 |
| jsonnet-language-server-0.16.0-r0.apk | 4887044 | 2025-Oct-28 01:54 |
| kubectl-oidc_login-1.34.2-r0.apk | 6108322 | 2025-Oct-28 01:54 |
| hurl-7.0.0-r0.apk | 2691772 | 2025-Oct-28 01:54 |
| libigraph-dev-1.0.0-r0.apk | 91890 | 2025-Oct-28 01:54 |
| hurl-zsh-completion-7.0.0-r0.apk | 4179 | 2025-Oct-28 01:54 |
| libigraph-1.0.0-r0.apk | 1929208 | 2025-Oct-28 01:54 |
| hurl-fish-completion-7.0.0-r0.apk | 3683 | 2025-Oct-28 01:54 |
| hurl-doc-7.0.0-r0.apk | 9188 | 2025-Oct-28 01:54 |
| hurl-bash-completion-7.0.0-r0.apk | 2371 | 2025-Oct-28 01:54 |
| kile-lang-3.0_beta4-r0.apk | 2809057 | 2025-Oct-27 14:05 |
| kile-doc-3.0_beta4-r0.apk | 4785485 | 2025-Oct-27 14:05 |
| kile-3.0_beta4-r0.apk | 2419628 | 2025-Oct-27 14:05 |
| stern-bash-completion-1.33.0-r0.apk | 5986 | 2025-Oct-27 13:59 |
| stern-zsh-completion-1.33.0-r0.apk | 4144 | 2025-Oct-27 13:59 |
| stern-fish-completion-1.33.0-r0.apk | 4423 | 2025-Oct-27 13:59 |
| stern-1.33.0-r0.apk | 20007223 | 2025-Oct-27 13:59 |
| xtl-0.8.1-r0.apk | 91890 | 2025-Oct-27 13:49 |
| templ-0.3.960-r0.apk | 5876069 | 2025-Oct-27 12:09 |
| buf-zsh-completion-1.59.0-r0.apk | 4122 | 2025-Oct-27 12:06 |
| buf-protoc-plugins-1.59.0-r0.apk | 15904948 | 2025-Oct-27 12:06 |
| buf-1.59.0-r0.apk | 16373450 | 2025-Oct-27 12:06 |
| buf-bash-completion-1.59.0-r0.apk | 8841 | 2025-Oct-27 12:06 |
| buf-fish-completion-1.59.0-r0.apk | 4407 | 2025-Oct-27 12:06 |
| vals-0.42.4-r0.apk | 39777235 | 2025-Oct-27 12:03 |
| helmfile-fish-completion-1.1.8-r0.apk | 4433 | 2025-Oct-27 12:03 |
| helmfile-zsh-completion-1.1.8-r0.apk | 4142 | 2025-Oct-27 12:03 |
| helmfile-doc-1.1.8-r0.apk | 2302 | 2025-Oct-27 12:03 |
| helmfile-bash-completion-1.1.8-r0.apk | 6260 | 2025-Oct-27 12:03 |
| helmfile-1.1.8-r0.apk | 63857555 | 2025-Oct-27 12:03 |
| zizmor-doc-1.16.0-r0.apk | 2337 | 2025-Oct-27 08:46 |
| zizmor-1.16.0-r0.apk | 4346700 | 2025-Oct-27 08:46 |
| ruri-doc-3.9.3-r0.apk | 2292 | 2025-Oct-27 08:46 |
| ruri-3.9.3-r0.apk | 137137 | 2025-Oct-27 08:46 |
| redlib-0.36.0-r0.apk | 3085763 | 2025-Oct-27 08:46 |
| py3-uc-micro-py-pyc-1.0.3-r0.apk | 4706 | 2025-Oct-27 08:46 |
| py3-uc-micro-py-1.0.3-r0.apk | 6700 | 2025-Oct-27 08:46 |
| py3-textual-pyc-5.3.0-r0.apk | 1249974 | 2025-Oct-27 08:46 |
| py3-textual-5.3.0-r0.apk | 626441 | 2025-Oct-27 08:46 |
| php82-pecl-xdebug-3.4.7-r0.apk | 147869 | 2025-Oct-27 08:46 |
| php81-pecl-xdebug-3.4.7-r0.apk | 147882 | 2025-Oct-27 08:46 |
| dooit-pyc-3.3.3-r0.apk | 105624 | 2025-Oct-27 08:46 |
| dooit-3.3.3-r0.apk | 47005 | 2025-Oct-27 08:46 |
| wl-clip-persist-0.5.0-r0.apk | 954811 | 2025-Oct-26 12:28 |
| usql-0.19.26-r0.apk | 45047871 | 2025-Oct-26 07:19 |
| lomiri-action-api-1.2.1-r0.apk | 78827 | 2025-Oct-25 20:19 |
| lomiri-thumbnailer-doc-3.0.5-r0.apk | 126606 | 2025-Oct-25 20:19 |
| lomiri-thumbnailer-dev-3.0.5-r0.apk | 5238 | 2025-Oct-25 20:19 |
| lomiri-thumbnailer-3.0.5-r0.apk | 218721 | 2025-Oct-25 20:19 |
| lomiri-settings-components-lang-1.1.3-r0.apk | 110218 | 2025-Oct-25 20:19 |
| lomiri-settings-components-1.1.3-r0.apk | 223111 | 2025-Oct-25 20:19 |
| lomiri-api-dev-0.2.3-r0.apk | 32897 | 2025-Oct-25 20:19 |
| lomiri-api-0.2.3-r0.apk | 32049 | 2025-Oct-25 20:19 |
| lomiri-action-api-dev-1.2.1-r0.apk | 5080 | 2025-Oct-25 20:19 |
| py3-flake8-isort-7.0.0-r0.apk | 18414 | 2025-Oct-25 20:13 |
| py3-flake8-isort-pyc-7.0.0-r0.apk | 5490 | 2025-Oct-25 20:13 |
| lua5.2-ubus-2025.10.17-r0.apk | 9111 | 2025-Oct-25 12:57 |
| ubus-dev-2025.10.17-r0.apk | 5728 | 2025-Oct-25 12:57 |
| lua5.1-ubus-2025.10.17-r0.apk | 9099 | 2025-Oct-25 12:57 |
| ubus-2025.10.17-r0.apk | 34457 | 2025-Oct-25 12:57 |
| pikchr-cmd-doc-1.0.0-r0.apk | 2298 | 2025-Oct-25 10:43 |
| pikchr-cmd-1.0.0-r0.apk | 45063 | 2025-Oct-25 10:43 |
| gimp-plugin-gmic-3.6.0-r1.apk | 1449816 | 2025-Oct-25 09:58 |
| gmic-doc-3.6.0-r1.apk | 228223 | 2025-Oct-25 09:58 |
| gmic-qt-3.6.0-r1.apk | 1785267 | 2025-Oct-25 09:58 |
| gmic-libs-3.6.0-r1.apk | 3353853 | 2025-Oct-25 09:58 |
| gmic-dev-3.6.0-r1.apk | 7810 | 2025-Oct-25 09:58 |
| gmic-bash-completion-3.6.0-r1.apk | 29372 | 2025-Oct-25 09:58 |
| gmic-3.6.0-r1.apk | 12927289 | 2025-Oct-25 09:58 |
| reason-rtop-3.8.2-r2.apk | 25446362 | 2025-Oct-25 05:08 |
| reason-3.8.2-r2.apk | 19525242 | 2025-Oct-25 05:08 |
| ocaml-reason-dev-3.8.2-r2.apk | 30051141 | 2025-Oct-25 05:08 |
| ocaml-reason-3.8.2-r2.apk | 16004601 | 2025-Oct-25 05:08 |
| schismtracker-doc-20251014-r0.apk | 6487 | 2025-Oct-24 23:51 |
| schismtracker-20251014-r0.apk | 461100 | 2025-Oct-24 23:51 |
| yosys-dev-0.57-r0.apk | 283940 | 2025-Oct-24 21:50 |
| yosys-0.57-r0.apk | 25360769 | 2025-Oct-24 21:50 |
| py3-yosys-0.57-r0.apk | 569951 | 2025-Oct-24 21:50 |
| boa-cli-0.21-r0.apk | 7889481 | 2025-Oct-24 20:45 |
| serialdv-dev-1.1.5-r0.apk | 5476 | 2025-Oct-24 20:31 |
| serialdv-1.1.5-r0.apk | 6518 | 2025-Oct-24 20:31 |
| sdrangel-7.22.9-r0.apk | 73793908 | 2025-Oct-24 20:31 |
| serialdv-libs-1.1.5-r0.apk | 66457 | 2025-Oct-24 20:31 |
| crowdsec-splunk-plugin-1.7.3-r0.apk | 6818278 | 2025-Oct-24 20:21 |
| crowdsec-sentinel-plugin-1.7.3-r0.apk | 6820790 | 2025-Oct-24 20:21 |
| crowdsec-openrc-1.7.3-r0.apk | 1846 | 2025-Oct-24 20:21 |
| crowdsec-http-plugin-1.7.3-r0.apk | 6823181 | 2025-Oct-24 20:21 |
| crowdsec-file-plugin-1.7.3-r0.apk | 6823332 | 2025-Oct-24 20:21 |
| crowdsec-email-plugin-1.7.3-r0.apk | 6871882 | 2025-Oct-24 20:21 |
| crowdsec-slack-plugin-1.7.3-r0.apk | 6868886 | 2025-Oct-24 20:21 |
| crowdsec-1.7.3-r0.apk | 42446919 | 2025-Oct-24 20:21 |
| php81-pecl-grpc-1.76.0-r0.apk | 4521431 | 2025-Oct-24 19:20 |
| php82-pecl-grpc-1.76.0-r0.apk | 4521445 | 2025-Oct-24 19:20 |
| perl-module-generic-doc-1.1.3-r0.apk | 268652 | 2025-Oct-24 17:54 |
| perl-module-generic-1.1.3-r0.apk | 331496 | 2025-Oct-24 17:54 |
| igt-gpu-tools-2.2-r0.apk | 5186630 | 2025-Oct-24 16:29 |
| igt-gpu-tools-dev-2.2-r0.apk | 16961 | 2025-Oct-24 16:29 |
| ruby-mail-2.9.0-r0.apk | 403859 | 2025-Oct-24 15:26 |
| php82-xsl-8.2.29-r3.apk | 12814 | 2025-Oct-24 14:48 |
| php82-xmlwriter-8.2.29-r3.apk | 11485 | 2025-Oct-24 14:48 |
| php82-xmlreader-8.2.29-r3.apk | 13503 | 2025-Oct-24 14:48 |
| php82-xml-8.2.29-r3.apk | 18923 | 2025-Oct-24 14:48 |
| php82-tokenizer-8.2.29-r3.apk | 11165 | 2025-Oct-24 14:48 |
| php82-tidy-8.2.29-r3.apk | 18750 | 2025-Oct-24 14:48 |
| php82-sysvshm-8.2.29-r3.apk | 6600 | 2025-Oct-24 14:48 |
| php82-sysvsem-8.2.29-r3.apk | 5660 | 2025-Oct-24 14:48 |
| php82-sysvmsg-8.2.29-r3.apk | 7738 | 2025-Oct-24 14:48 |
| php82-sqlite3-8.2.29-r3.apk | 21634 | 2025-Oct-24 14:48 |
| php82-spx-0.4.21-r0.apk | 107643 | 2025-Oct-24 14:48 |
| php82-sodium-8.2.29-r3.apk | 26871 | 2025-Oct-24 14:48 |
| php82-sockets-8.2.29-r3.apk | 35756 | 2025-Oct-24 14:48 |
| php82-soap-8.2.29-r3.apk | 138753 | 2025-Oct-24 14:48 |
| php82-snmp-8.2.29-r3.apk | 21450 | 2025-Oct-24 14:48 |
| php82-simplexml-8.2.29-r3.apk | 22626 | 2025-Oct-24 14:48 |
| php82-shmop-8.2.29-r3.apk | 5971 | 2025-Oct-24 14:48 |
| php82-session-8.2.29-r3.apk | 37112 | 2025-Oct-24 14:48 |
| php82-pspell-8.2.29-r3.apk | 8005 | 2025-Oct-24 14:48 |
| php82-posix-8.2.29-r3.apk | 10946 | 2025-Oct-24 14:48 |
| php82-phpdbg-8.2.29-r3.apk | 1944721 | 2025-Oct-24 14:48 |
| sstp-client-doc-1.0.20-r3.apk | 4982 | 2025-Oct-24 14:48 |
| sstp-client-dev-1.0.20-r3.apk | 5356 | 2025-Oct-24 14:48 |
| sstp-client-1.0.20-r3.apk | 39023 | 2025-Oct-24 14:48 |
| php82-zip-8.2.29-r3.apk | 27181 | 2025-Oct-24 14:48 |
| php82-phar-8.2.29-r3.apk | 123508 | 2025-Oct-24 14:48 |
| php82-pgsql-8.2.29-r3.apk | 44778 | 2025-Oct-24 14:48 |
| php82-pecl-zstd-0.15.2-r0.apk | 16728 | 2025-Oct-24 14:48 |
| php82-pecl-xlswriter-1.5.8-r0.apk | 225849 | 2025-Oct-24 14:48 |
| php82-pecl-xhprof-assets-2.3.10-r0.apk | 819071 | 2025-Oct-24 14:48 |
| php82-pecl-xhprof-2.3.10-r0.apk | 12389 | 2025-Oct-24 14:48 |
| php82-pecl-vips-1.0.13-r0.apk | 16088 | 2025-Oct-24 14:48 |
| php82-pecl-uuid-1.3.0-r0.apk | 6396 | 2025-Oct-24 14:48 |
| php82-pecl-uploadprogress-doc-2.0.2-r2.apk | 9820 | 2025-Oct-24 14:48 |
| php82-pecl-uploadprogress-2.0.2-r2.apk | 6496 | 2025-Oct-24 14:48 |
| php82-pecl-timezonedb-2025.2-r0.apk | 193858 | 2025-Oct-24 14:48 |
| php82-pecl-ssh2-1.4.1-r0.apk | 27120 | 2025-Oct-24 14:48 |
| php82-pecl-smbclient-1.2.0_pre-r0.apk | 20600 | 2025-Oct-24 14:48 |
| php82-pecl-rdkafka-6.0.5-r0.apk | 36111 | 2025-Oct-24 14:48 |
| php82-pecl-psr-1.2.0-r1.apk | 16516 | 2025-Oct-24 14:48 |
| php82-pecl-protobuf-4.32.1-r0.apk | 146757 | 2025-Oct-24 14:48 |
| php82-pecl-pcov-1.0.12-r0.apk | 9440 | 2025-Oct-24 14:48 |
| php82-pecl-opentelemetry-1.2.1-r0.apk | 12599 | 2025-Oct-24 14:48 |
| php82-pecl-msgpack-3.0.0-r0.apk | 27002 | 2025-Oct-24 14:48 |
| php82-pecl-mongodb-2.1.4-r0.apk | 827655 | 2025-Oct-24 14:48 |
| php82-pecl-memcached-3.4.0-r0.apk | 46143 | 2025-Oct-24 14:48 |
| php82-pecl-memcache-8.2-r2.apk | 41809 | 2025-Oct-24 14:48 |
| php82-pecl-mcrypt-1.0.9-r0.apk | 14613 | 2025-Oct-24 14:48 |
| php82-pecl-maxminddb-1.12.1-r0.apk | 7979 | 2025-Oct-24 14:48 |
| php82-pecl-mailparse-3.1.9-r0.apk | 23051 | 2025-Oct-24 14:48 |
| php82-pecl-lzf-1.7.0-r0.apk | 7127 | 2025-Oct-24 14:48 |
| php82-pecl-luasandbox-4.1.2-r0.apk | 29475 | 2025-Oct-24 14:48 |
| php82-pecl-imagick-dev-3.8.0-r1.apk | 2355 | 2025-Oct-24 14:48 |
| php82-pecl-imagick-3.8.0-r1.apk | 104587 | 2025-Oct-24 14:48 |
| php82-pecl-igbinary-3.2.16-r0.apk | 34568 | 2025-Oct-24 14:48 |
| php82-pecl-event-3.1.4-r0.apk | 48641 | 2025-Oct-24 14:48 |
| php82-pecl-ds-1.6.0-r0.apk | 56741 | 2025-Oct-24 14:48 |
| php82-pecl-decimal-1.5.0-r1.apk | 17774 | 2025-Oct-24 14:48 |
| php82-pecl-couchbase-4.3.0-r0.apk | 5445154 | 2025-Oct-24 14:48 |
| unit-php82-1.35.0-r0.apk | 32866 | 2025-Oct-24 14:48 |
| php82-odbc-8.2.29-r3.apk | 24153 | 2025-Oct-24 14:48 |
| php82-pecl-ast-1.1.3-r0.apk | 19867 | 2025-Oct-24 14:48 |
| php82-pecl-apcu-5.1.27-r0.apk | 55433 | 2025-Oct-24 14:48 |
| php82-pecl-amqp-2.1.2-r0.apk | 53816 | 2025-Oct-24 14:48 |
| php82-pear-8.2.29-r3.apk | 346013 | 2025-Oct-24 14:48 |
| php82-pdo_sqlite-8.2.29-r3.apk | 12492 | 2025-Oct-24 14:48 |
| php82-pdo_pgsql-8.2.29-r3.apk | 19071 | 2025-Oct-24 14:48 |
| php82-pdo_odbc-8.2.29-r3.apk | 13065 | 2025-Oct-24 14:48 |
| php82-pdo_mysql-8.2.29-r3.apk | 13061 | 2025-Oct-24 14:48 |
| php82-pdo_dblib-8.2.29-r3.apk | 11995 | 2025-Oct-24 14:48 |
| php82-pdo-8.2.29-r3.apk | 42543 | 2025-Oct-24 14:48 |
| php82-pcntl-8.2.29-r3.apk | 14435 | 2025-Oct-24 14:48 |
| php82-openssl-8.2.29-r3.apk | 73060 | 2025-Oct-24 14:48 |
| php82-opcache-8.2.29-r3.apk | 374629 | 2025-Oct-24 14:48 |
| php82-pecl-brotli-0.18.2-r0.apk | 14680 | 2025-Oct-24 14:48 |
| php82-mysqlnd-8.2.29-r3.apk | 79057 | 2025-Oct-24 14:48 |
| php82-mysqli-8.2.29-r3.apk | 41015 | 2025-Oct-24 14:48 |
| php82-mbstring-8.2.29-r3.apk | 641241 | 2025-Oct-24 14:48 |
| php82-litespeed-8.2.29-r3.apk | 1884086 | 2025-Oct-24 14:48 |
| php82-ldap-8.2.29-r3.apk | 31034 | 2025-Oct-24 14:48 |
| php82-intl-8.2.29-r3.apk | 153891 | 2025-Oct-24 14:48 |
| php82-curl-8.2.29-r3.apk | 36219 | 2025-Oct-24 14:48 |
| php82-ctype-8.2.29-r3.apk | 4951 | 2025-Oct-24 14:48 |
| php82-common-8.2.29-r3.apk | 25879 | 2025-Oct-24 14:48 |
| php82-cgi-8.2.29-r3.apk | 1873608 | 2025-Oct-24 14:48 |
| php82-calendar-8.2.29-r3.apk | 12478 | 2025-Oct-24 14:48 |
| php82-bz2-8.2.29-r3.apk | 9887 | 2025-Oct-24 14:48 |
| php82-bcmath-8.2.29-r3.apk | 17642 | 2025-Oct-24 14:48 |
| php82-apache2-8.2.29-r3.apk | 1858100 | 2025-Oct-24 14:48 |
| php82-8.2.29-r3.apk | 1896610 | 2025-Oct-24 14:48 |
| php82-imap-8.2.29-r3.apk | 33086 | 2025-Oct-24 14:48 |
| php82-iconv-8.2.29-r3.apk | 18029 | 2025-Oct-24 14:48 |
| php82-gmp-8.2.29-r3.apk | 21149 | 2025-Oct-24 14:48 |
| php82-gettext-8.2.29-r3.apk | 5813 | 2025-Oct-24 14:48 |
| php82-gd-8.2.29-r3.apk | 126008 | 2025-Oct-24 14:48 |
| php82-ftp-8.2.29-r3.apk | 23386 | 2025-Oct-24 14:48 |
| php82-fpm-8.2.29-r3.apk | 1944815 | 2025-Oct-24 14:48 |
| php82-fileinfo-8.2.29-r3.apk | 386988 | 2025-Oct-24 14:48 |
| php82-ffi-8.2.29-r3.apk | 69211 | 2025-Oct-24 14:48 |
| php82-exif-8.2.29-r3.apk | 29473 | 2025-Oct-24 14:48 |
| php82-enchant-8.2.29-r3.apk | 8493 | 2025-Oct-24 14:48 |
| php82-embed-8.2.29-r3.apk | 1850792 | 2025-Oct-24 14:48 |
| php82-dom-8.2.29-r3.apk | 61259 | 2025-Oct-24 14:48 |
| php82-doc-8.2.29-r3.apk | 74707 | 2025-Oct-24 14:48 |
| php82-dev-8.2.29-r3.apk | 991755 | 2025-Oct-24 14:48 |
| php82-dbg-8.2.29-r3.apk | 42564622 | 2025-Oct-24 14:48 |
| php82-dba-8.2.29-r3.apk | 23331 | 2025-Oct-24 14:48 |
| kcbench-0.9.13-r0.apk | 38086 | 2025-Oct-24 14:48 |
| comrak-0.45.0-r0.apk | 1028386 | 2025-Oct-24 14:48 |
| comrak-doc-0.45.0-r0.apk | 10695 | 2025-Oct-24 14:48 |
| kcbench-doc-0.9.13-r0.apk | 20779 | 2025-Oct-24 14:48 |
| errands-lang-46.2.9-r0.apk | 74811 | 2025-Oct-23 08:13 |
| errands-46.2.9-r0.apk | 86115 | 2025-Oct-23 08:13 |
| thermald-2.15.10-r0.apk | 176618 | 2025-Oct-23 08:12 |
| thermald-openrc-2.15.10-r0.apk | 1807 | 2025-Oct-23 08:12 |
| thermald-doc-2.15.10-r0.apk | 8863 | 2025-Oct-23 08:12 |
| xed-lang-3.8.4-r0.apk | 2192253 | 2025-Oct-23 07:55 |
| xed-python-3.8.4-r0.apk | 25076 | 2025-Oct-23 07:55 |
| xed-doc-3.8.4-r0.apk | 994188 | 2025-Oct-23 07:55 |
| xed-dev-3.8.4-r0.apk | 13984 | 2025-Oct-23 07:55 |
| xed-3.8.4-r0.apk | 1126847 | 2025-Oct-23 07:55 |
| game-devices-udev-0.25-r0.apk | 7066 | 2025-Oct-23 05:17 |
| cobang-1.8.1-r0.apk | 41817 | 2025-Oct-23 05:17 |
| cobang-lang-1.8.1-r0.apk | 10384 | 2025-Oct-23 05:17 |
| qtmir-0.7.2_git20250407-r4.apk | 515966 | 2025-Oct-22 22:32 |
| qtmir-dev-0.7.2_git20250407-r4.apk | 6805 | 2025-Oct-22 22:32 |
| mir-demos-2.23.0-r0.apk | 149019 | 2025-Oct-22 22:32 |
| lomiri-ui-toolkit-lang-1.3.5110-r4.apk | 98824 | 2025-Oct-22 22:32 |
| lomiri-ui-toolkit-dev-1.3.5110-r4.apk | 171909 | 2025-Oct-22 22:32 |
| lomiri-ui-toolkit-1.3.5110-r4.apk | 1344487 | 2025-Oct-22 22:32 |
| lomiri-trust-store-lang-2.0.2-r13.apk | 28390 | 2025-Oct-22 22:32 |
| lomiri-trust-store-dev-2.0.2-r13.apk | 9352 | 2025-Oct-22 22:32 |
| lomiri-trust-store-2.0.2-r13.apk | 963700 | 2025-Oct-22 22:32 |
| lomiri-lang-0.5.0-r3.apk | 275782 | 2025-Oct-22 22:32 |
| lomiri-app-launch-dev-0.1.12-r4.apk | 20565 | 2025-Oct-22 22:32 |
| lomiri-app-launch-0.1.12-r4.apk | 332601 | 2025-Oct-22 22:32 |
| lomiri-0.5.0-r3.apk | 4094983 | 2025-Oct-22 22:32 |
| hyfetch-zsh-completion-2.0.4-r0.apk | 2638 | 2025-Oct-22 22:32 |
| hyfetch-doc-2.0.4-r0.apk | 19870 | 2025-Oct-22 22:32 |
| hyfetch-bash-completion-2.0.4-r0.apk | 3422 | 2025-Oct-22 22:32 |
| hyfetch-2.0.4-r0.apk | 888986 | 2025-Oct-22 22:32 |
| ghostty-zsh-completion-1.2.0_git20250907-r1.apk | 4458 | 2025-Oct-22 22:32 |
| ghostty-fish-completion-1.2.0_git20250907-r1.apk | 4339 | 2025-Oct-22 22:32 |
| ghostty-doc-1.2.0_git20250907-r1.apk | 2329 | 2025-Oct-22 22:32 |
| ghostty-bash-completion-1.2.0_git20250907-r1.apk | 6359 | 2025-Oct-22 22:32 |
| ghostty-1.2.0_git20250907-r1.apk | 16267460 | 2025-Oct-22 22:32 |
| firefox-developer-edition-145.0_beta4-r0.apk | 93031540 | 2025-Oct-22 22:32 |
| mir-2.23.0-r0.apk | 2344113 | 2025-Oct-22 22:32 |
| pfetch-doc-1.9.4-r0.apk | 5860 | 2025-Oct-22 22:32 |
| pfetch-1.9.4-r0.apk | 23814 | 2025-Oct-22 22:32 |
| mir-test-tools-2.23.0-r0.apk | 1248624 | 2025-Oct-22 22:32 |
| mir-dev-2.23.0-r0.apk | 8878499 | 2025-Oct-22 22:32 |
| dnote-zsh-completion-0.15.4-r0.apk | 2072 | 2025-Oct-22 22:32 |
| dnote-doc-0.15.4-r0.apk | 14957 | 2025-Oct-22 22:32 |
| dnote-bash-completion-0.15.4-r0.apk | 2149 | 2025-Oct-22 22:32 |
| dnote-0.15.4-r0.apk | 4245869 | 2025-Oct-22 22:32 |
| bash-pinyin-completion-rs-doc-1.0.0-r0.apk | 13907 | 2025-Oct-22 22:32 |
| bash-pinyin-completion-rs-1.0.0-r0.apk | 330824 | 2025-Oct-22 22:32 |
| kew-3.6.4-r0.apk | 568018 | 2025-Oct-21 05:33 |
| kew-doc-3.6.4-r0.apk | 3720 | 2025-Oct-21 05:33 |
| ruby-hoe-4.3.0-r0.apk | 28019 | 2025-Oct-21 04:19 |
| ruby-hashdiff-1.2.1-r0.apk | 9171 | 2025-Oct-21 04:18 |
| ruby-hashdiff-doc-1.2.1-r0.apk | 2302 | 2025-Oct-21 04:18 |
| ruby-dry-inflector-doc-1.2.0-r0.apk | 2315 | 2025-Oct-21 04:16 |
| ruby-dry-inflector-1.2.0-r0.apk | 8371 | 2025-Oct-21 04:16 |
| ruby-crack-doc-1.0.1-r0.apk | 2303 | 2025-Oct-21 04:14 |
| ruby-crack-1.0.1-r0.apk | 2381 | 2025-Oct-21 04:14 |
| ruby-byebug-12.0.0-r0.apk | 129882 | 2025-Oct-21 04:12 |
| openocd-git-cmd-openocd-0_git20251018-r1.apk | 1613 | 2025-Oct-20 21:45 |
| pwvucontrol-lang-0.5.0-r0.apk | 11590 | 2025-Oct-20 21:45 |
| pwvucontrol-dbg-0.5.0-r0.apk | 2019186 | 2025-Oct-20 21:45 |
| pwvucontrol-0.5.0-r0.apk | 448794 | 2025-Oct-20 21:45 |
| openocd-git-udev-0_git20251018-r1.apk | 3626 | 2025-Oct-20 21:45 |
| openocd-git-doc-0_git20251018-r1.apk | 3290 | 2025-Oct-20 21:45 |
| openocd-git-dev-0_git20251018-r1.apk | 3431 | 2025-Oct-20 21:45 |
| openocd-git-dbg-0_git20251018-r1.apk | 4458087 | 2025-Oct-20 21:45 |
| openocd-git-0_git20251018-r1.apk | 1777269 | 2025-Oct-20 21:45 |
| openocd-esp32-udev-0_git20250707-r2.apk | 3584 | 2025-Oct-20 21:45 |
| openocd-esp32-doc-0_git20250707-r2.apk | 3288 | 2025-Oct-20 21:45 |
| openocd-esp32-dev-0_git20250707-r2.apk | 3750 | 2025-Oct-20 21:45 |
| openocd-esp32-0_git20250707-r2.apk | 2043791 | 2025-Oct-20 21:45 |
| py3-prctl-1.8.1-r0.apk | 12732 | 2025-Oct-20 13:50 |
| pure-doc-1.23.0-r0.apk | 8105 | 2025-Oct-20 13:50 |
| pure-1.23.0-r0.apk | 18755 | 2025-Oct-20 13:50 |
| himitsu-secret-service-pyc-0.1_git20250705-r1.apk | 29282 | 2025-Oct-20 13:50 |
| himitsu-secret-service-doc-0.1_git20250705-r1.apk | 4085 | 2025-Oct-20 13:50 |
| py3-prctl-pyc-1.8.1-r0.apk | 7406 | 2025-Oct-20 13:50 |
| himitsu-secret-service-0.1_git20250705-r1.apk | 16166 | 2025-Oct-20 13:50 |
| organicmaps-2025.09.05.1-r0.apk | 132923749 | 2025-Oct-20 10:31 |
| fast-double-parser-0.8.1-r0.apk | 25928 | 2025-Oct-20 10:31 |
| warzone2100-lang-4.5.5-r4.apk | 2695667 | 2025-Oct-19 13:36 |
| warzone2100-doc-4.5.5-r4.apk | 1585529 | 2025-Oct-19 13:36 |
| warzone2100-data-4.5.5-r4.apk | 343982953 | 2025-Oct-19 13:36 |
| mediastreamer2-5.3.112-r1.apk | 368016 | 2025-Oct-19 13:36 |
| mediastreamer2-doc-5.3.112-r1.apk | 110295 | 2025-Oct-19 13:36 |
| mediastreamer2-dev-5.3.112-r1.apk | 112297 | 2025-Oct-19 13:36 |
| warzone2100-4.5.5-r4.apk | 6847046 | 2025-Oct-19 13:36 |
| godot-templates-4.4.1-r2.apk | 47347457 | 2025-Oct-19 13:36 |
| godot-doc-4.4.1-r2.apk | 4660 | 2025-Oct-19 13:36 |
| godot-4.4.1-r2.apk | 59707124 | 2025-Oct-19 13:36 |
| ffmpeg4-libavfilter-4.4.5-r4.apk | 1615588 | 2025-Oct-19 13:36 |
| ffmpeg4-libswscale-4.4.5-r4.apk | 178315 | 2025-Oct-19 13:36 |
| ffmpeg4-libswresample-4.4.5-r4.apk | 49980 | 2025-Oct-19 13:36 |
| ffmpeg4-libpostproc-4.4.5-r4.apk | 62514 | 2025-Oct-19 13:36 |
| ffmpeg4-libavutil-4.4.5-r4.apk | 285579 | 2025-Oct-19 13:36 |
| ffmpeg4-libavformat-4.4.5-r4.apk | 1235170 | 2025-Oct-19 13:36 |
| ffmpeg4-libavdevice-4.4.5-r4.apk | 48064 | 2025-Oct-19 13:36 |
| ffmpeg4-libavcodec-4.4.5-r4.apk | 6760938 | 2025-Oct-19 13:36 |
| ffmpeg4-dev-4.4.5-r4.apk | 287508 | 2025-Oct-19 13:36 |
| ffmpeg4-4.4.5-r4.apk | 47029 | 2025-Oct-19 13:36 |
| nitro-init-0.5-r0.apk | 21737 | 2025-Oct-19 08:36 |
| nitro-init-doc-0.5-r0.apk | 4723 | 2025-Oct-19 08:36 |
| planarity-doc-4.0.1.0-r0.apk | 13412 | 2025-Oct-19 05:35 |
| planarity-dev-4.0.1.0-r0.apk | 23666 | 2025-Oct-19 05:35 |
| planarity-4.0.1.0-r0.apk | 23073 | 2025-Oct-19 05:35 |
| planarity-libs-4.0.1.0-r0.apk | 75869 | 2025-Oct-19 05:35 |
| gnome-mahjongg-lang-49.0.1-r0.apk | 174404 | 2025-Oct-18 21:03 |
| gnome-mahjongg-doc-49.0.1-r0.apk | 2251 | 2025-Oct-18 21:03 |
| gnome-mahjongg-49.0.1-r0.apk | 2494031 | 2025-Oct-18 21:03 |
| identities-0.2.2-r0.apk | 17432 | 2025-Oct-18 15:40 |
| perl-freezethaw-doc-0.5001-r3.apk | 5845 | 2025-Oct-18 10:32 |
| perl-freezethaw-0.5001-r3.apk | 10057 | 2025-Oct-18 10:32 |
| py3-msldap-examples-0.5.15-r2.apk | 18805 | 2025-Oct-17 16:26 |
| py3-msldap-0.5.15-r2.apk | 129674 | 2025-Oct-17 16:26 |
| py3-msldap-pyc-0.5.15-r2.apk | 337690 | 2025-Oct-17 16:26 |
| py3-libacl-0.7.3-r0.apk | 25513 | 2025-Oct-16 23:45 |
| chimerautils-dbg-14.3.1-r0.apk | 3161106 | 2025-Oct-16 23:45 |
| chimerautils-14.3.1-r0.apk | 1208721 | 2025-Oct-16 23:45 |
| py3-vdf-pyc-3.4-r2.apk | 16918 | 2025-Oct-16 23:45 |
| py3-vdf-3.4-r2.apk | 11425 | 2025-Oct-16 23:45 |
| gsimplecal-doc-2.5.2-r0.apk | 5926 | 2025-Oct-16 18:48 |
| gsimplecal-2.5.2-r0.apk | 15861 | 2025-Oct-16 18:48 |
| magpie-lang-0.9.4-r0.apk | 869853 | 2025-Oct-16 17:07 |
| budgie-screensaver-lang-5.1.0-r0.apk | 245942 | 2025-Oct-16 17:07 |
| budgie-screensaver-doc-5.1.0-r0.apk | 3422 | 2025-Oct-16 17:07 |
| budgie-screensaver-5.1.0-r0.apk | 74570 | 2025-Oct-16 17:07 |
| budgie-desktop-lang-10.9.2-r0.apk | 599932 | 2025-Oct-16 17:07 |
| budgie-desktop-doc-10.9.2-r0.apk | 5785 | 2025-Oct-16 17:07 |
| budgie-desktop-dev-10.9.2-r0.apk | 18661 | 2025-Oct-16 17:07 |
| budgie-desktop-10.9.2-r0.apk | 1373309 | 2025-Oct-16 17:07 |
| budgie-control-center-lang-1.4.0-r0.apk | 4106839 | 2025-Oct-16 17:07 |
| budgie-control-center-bash-completion-1.4.0-r0.apk | 2357 | 2025-Oct-16 17:07 |
| budgie-control-center-1.4.0-r0.apk | 3111927 | 2025-Oct-16 17:07 |
| magpie-dev-0.9.4-r0.apk | 252201 | 2025-Oct-16 17:07 |
| magpie-0.9.4-r0.apk | 1872028 | 2025-Oct-16 17:07 |
| budgie-session-lang-0.9.1-r0.apk | 313969 | 2025-Oct-16 17:07 |
| budgie-session-doc-0.9.1-r0.apk | 5411 | 2025-Oct-16 17:07 |
| budgie-session-0.9.1-r0.apk | 113111 | 2025-Oct-16 17:07 |
| serie-doc-0.5.2-r0.apk | 8112 | 2025-Oct-16 17:04 |
| serie-0.5.2-r0.apk | 865591 | 2025-Oct-16 17:04 |
| perl-html-object-0.6.0-r0.apk | 351091 | 2025-Oct-16 16:25 |
| perl-html-object-doc-0.6.0-r0.apk | 484876 | 2025-Oct-16 16:25 |
| tempo-vulture-2.9.0-r0.apk | 16111676 | 2025-Oct-16 13:37 |
| tempo-vulture-openrc-2.9.0-r0.apk | 2016 | 2025-Oct-16 13:37 |
| tempo-query-2.9.0-r0.apk | 7279569 | 2025-Oct-16 13:37 |
| tempo-openrc-2.9.0-r0.apk | 1911 | 2025-Oct-16 13:37 |
| tempo-cli-2.9.0-r0.apk | 24420957 | 2025-Oct-16 13:37 |
| tempo-2.9.0-r0.apk | 34241870 | 2025-Oct-16 13:37 |
| edit-1.2.1-r0.apk | 254654 | 2025-Oct-16 13:17 |
| edit-doc-1.2.1-r0.apk | 2300 | 2025-Oct-16 13:17 |
| toybox-0.8.13-r0.apk | 240680 | 2025-Oct-16 10:30 |
| nwg-look-doc-1.0.6-r0.apk | 4257 | 2025-Oct-16 02:18 |
| nwg-look-1.0.6-r0.apk | 1544983 | 2025-Oct-16 02:18 |
| plakar-doc-1.0.5-r0.apk | 2128 | 2025-Oct-15 15:21 |
| plakar-1.0.5-r0.apk | 20444277 | 2025-Oct-15 15:21 |
| py3-hishel-0.1.4-r0.apk | 78696 | 2025-Oct-15 12:53 |
| py3-hishel-pyc-0.1.4-r0.apk | 146994 | 2025-Oct-15 12:53 |
| libtins-doc-4.5-r2.apk | 2386 | 2025-Oct-15 08:21 |
| libtins-dev-4.5-r2.apk | 141114 | 2025-Oct-15 08:21 |
| libtins-4.5-r2.apk | 330408 | 2025-Oct-15 08:21 |
| bcg729-dev-1.1.1-r1.apk | 3708 | 2025-Oct-15 08:21 |
| bcg729-1.1.1-r1.apk | 32814 | 2025-Oct-15 08:21 |
| deblob-doc-0.12-r0.apk | 3888 | 2025-Oct-14 22:47 |
| deblob-0.12-r0.apk | 112839 | 2025-Oct-14 22:47 |
| py3-okonomiyaki-2.0.0-r1.apk | 8270720 | 2025-Oct-14 19:03 |
| py3-okonomiyaki-pyc-2.0.0-r1.apk | 248920 | 2025-Oct-14 19:03 |
| cliphist-fzf-0.7.0-r0.apk | 1836 | 2025-Oct-14 17:31 |
| cliphist-0.7.0-r0.apk | 1080699 | 2025-Oct-14 17:31 |
| py3-spotipy-2.24.0-r3.apk | 30323 | 2025-Oct-14 15:05 |
| py3-spotipy-pyc-2.24.0-r3.apk | 50675 | 2025-Oct-14 15:05 |
| py3-manuel-1.13.0-r1.apk | 40302 | 2025-Oct-14 15:04 |
| py3-manuel-pyc-1.13.0-r1.apk | 26281 | 2025-Oct-14 15:04 |
| responder-3.1.7.0-r0.apk | 765241 | 2025-Oct-14 14:54 |
| py3-unearth-pyc-0.18.0-r0.apk | 84305 | 2025-Oct-14 14:54 |
| py3-unearth-0.18.0-r0.apk | 41734 | 2025-Oct-14 14:54 |
| homebank-5.9.5-r0.apk | 2138459 | 2025-Oct-14 14:54 |
| homebank-lang-5.9.5-r0.apk | 965069 | 2025-Oct-14 14:54 |
| android-apktool-2.12.1-r0.apk | 25655568 | 2025-Oct-14 14:53 |
| py3-unicorn-pyc-2.1.4-r0.apk | 94552 | 2025-Oct-14 14:38 |
| sqlmap-pyc-1.9.10-r0.apk | 1225940 | 2025-Oct-14 14:38 |
| unicorn-2.1.4-r0.apk | 7646489 | 2025-Oct-14 14:38 |
| sqlmap-1.9.10-r0.apk | 7072672 | 2025-Oct-14 14:38 |
| py3-unicorn-2.1.4-r0.apk | 51789 | 2025-Oct-14 14:38 |
| unicorn-dev-2.1.4-r0.apk | 8971969 | 2025-Oct-14 14:38 |
| lemmy-localdb-0.19.12-r1.apk | 2482 | 2025-Oct-14 14:16 |
| lemmy-0.19.12-r1.apk | 13077447 | 2025-Oct-14 14:16 |
| pict-rs-openrc-0.5.19-r1.apk | 1932 | 2025-Oct-14 14:16 |
| pict-rs-0.5.19-r1.apk | 6076948 | 2025-Oct-14 14:16 |
| lemmy-openrc-0.19.12-r1.apk | 1937 | 2025-Oct-14 14:16 |
| aggregate6-pyc-1.0.14-r0.apk | 5951 | 2025-Oct-14 11:05 |
| aggregate6-1.0.14-r0.apk | 7431 | 2025-Oct-14 11:05 |
| aggregate6-doc-1.0.14-r0.apk | 3005 | 2025-Oct-14 11:05 |
| lidarr-2.14.5.4836-r0.apk | 23251120 | 2025-Oct-14 10:09 |
| lidarr-openrc-2.14.5.4836-r0.apk | 2091 | 2025-Oct-14 10:09 |
| charta-0.1.3-r0.apk | 1206188 | 2025-Oct-14 10:02 |
| sshm-doc-1.9.0-r0.apk | 2301 | 2025-Oct-14 09:59 |
| sshm-1.9.0-r0.apk | 4288770 | 2025-Oct-14 09:59 |
| py3-fastavro-pyc-1.12.1-r0.apk | 83914 | 2025-Oct-14 01:58 |
| py3-fastavro-1.12.1-r0.apk | 451715 | 2025-Oct-14 01:58 |
| portsmf-dev-239-r2.apk | 20480 | 2025-Oct-13 20:02 |
| portsmf-239-r2.apk | 57046 | 2025-Oct-13 20:02 |
| roll-bash-completion-2.6.1-r1.apk | 1885 | 2025-Oct-13 16:13 |
| roll-doc-2.6.1-r1.apk | 11546 | 2025-Oct-13 16:13 |
| roll-2.6.1-r1.apk | 12806 | 2025-Oct-13 16:13 |
| perl-clipboard-doc-0.32-r1.apk | 27511 | 2025-Oct-13 16:13 |
| perl-clipboard-0.32-r1.apk | 10670 | 2025-Oct-13 16:13 |
| networkmanager-dmenu-doc-2.6.1-r1.apk | 6958 | 2025-Oct-13 16:13 |
| networkmanager-dmenu-2.6.1-r1.apk | 14131 | 2025-Oct-13 16:13 |
| php81-pecl-memcached-3.4.0-r0.apk | 45911 | 2025-Oct-13 10:34 |
| termusic-mpv-0.12.0-r0.apk | 10276386 | 2025-Oct-13 01:41 |
| cartero-0.2.2-r0.apk | 1194515 | 2025-Oct-13 00:00 |
| cartero-lang-0.2.2-r0.apk | 45841 | 2025-Oct-13 00:00 |
| nautilus-python-doc-4.0.1-r0.apk | 4339 | 2025-Oct-12 21:54 |
| nautilus-python-dev-4.0.1-r0.apk | 1746 | 2025-Oct-12 21:54 |
| nautilus-python-4.0.1-r0.apk | 9508 | 2025-Oct-12 21:54 |
| gl2ps-static-1.4.2-r0.apk | 42818 | 2025-Oct-12 21:34 |
| gl2ps-1.4.2-r0.apk | 37598 | 2025-Oct-12 21:34 |
| gl2ps-dev-1.4.2-r0.apk | 4453 | 2025-Oct-12 21:34 |
| gl2ps-doc-1.4.2-r0.apk | 235747 | 2025-Oct-12 21:34 |
| maildir-rank-addr-doc-1.4.1-r0.apk | 2335 | 2025-Oct-12 21:30 |
| maildir-rank-addr-1.4.1-r0.apk | 3641918 | 2025-Oct-12 21:30 |
| vimv-doc-3.1.0-r0.apk | 2046 | 2025-Oct-12 21:21 |
| vimv-3.1.0-r0.apk | 255651 | 2025-Oct-12 21:21 |
| py3-pdoc-pyc-15.0.4-r0.apk | 173024 | 2025-Oct-12 21:20 |
| py3-pdoc-15.0.4-r0.apk | 135956 | 2025-Oct-12 21:20 |
| nyuu-0.4.2-r0.apk | 1289590 | 2025-Oct-12 21:04 |
| parpar-0.4.5-r0.apk | 6123342 | 2025-Oct-12 21:03 |
| gtypist-lang-2.10.1-r0.apk | 26755 | 2025-Oct-12 20:59 |
| gtypist-doc-2.10.1-r0.apk | 106373 | 2025-Oct-12 20:59 |
| gtypist-2.10.1-r0.apk | 649994 | 2025-Oct-12 20:59 |
| bat-extras-batman-2024.08.24-r0.apk | 4861 | 2025-Oct-12 20:47 |
| bat-extras-batdiff-2024.08.24-r0.apk | 5536 | 2025-Oct-12 20:47 |
| bat-extras-2024.08.24-r0.apk | 5445 | 2025-Oct-12 20:47 |
| bat-extras-prettybat-2024.08.24-r0.apk | 5713 | 2025-Oct-12 20:47 |
| bat-extras-doc-2024.08.24-r0.apk | 15897 | 2025-Oct-12 20:47 |
| bat-extras-batwatch-2024.08.24-r0.apk | 5990 | 2025-Oct-12 20:47 |
| bat-extras-batpipe-2024.08.24-r0.apk | 7196 | 2025-Oct-12 20:47 |
| bat-extras-batgrep-2024.08.24-r0.apk | 7525 | 2025-Oct-12 20:47 |
| ssh-studio-1.3.1-r0.apk | 207234 | 2025-Oct-12 20:35 |
| ssh-studio-pyc-1.3.1-r0.apk | 109111 | 2025-Oct-12 20:35 |
| ssh-studio-lang-1.3.1-r0.apk | 1918 | 2025-Oct-12 20:35 |
| vera++-1.3.0-r11.apk | 190549 | 2025-Oct-12 14:25 |
| interception-tools-openrc-0.6.8-r3.apk | 1736 | 2025-Oct-12 14:19 |
| interception-tools-0.6.8-r3.apk | 103326 | 2025-Oct-12 14:19 |
| apt-swarm-openrc-0.5.1-r1.apk | 1998 | 2025-Oct-12 13:42 |
| apt-swarm-0.5.1-r1.apk | 3132499 | 2025-Oct-12 13:42 |
| apt-swarm-bash-completion-0.5.1-r1.apk | 4287 | 2025-Oct-12 13:42 |
| apt-swarm-fish-completion-0.5.1-r1.apk | 5875 | 2025-Oct-12 13:42 |
| apt-swarm-zsh-completion-0.5.1-r1.apk | 6017 | 2025-Oct-12 13:42 |
| py3-pytest-regtest-2.3.5-r0.apk | 16452 | 2025-Oct-12 13:32 |
| py3-pytest-regtest-pyc-2.3.5-r0.apk | 30979 | 2025-Oct-12 13:32 |
| oppa-1.1.0-r0.apk | 531058 | 2025-Oct-12 13:21 |
| gr-satellites-5.5.0-r6.apk | 511085 | 2025-Oct-12 12:09 |
| gr-satellites-dev-5.5.0-r6.apk | 13027 | 2025-Oct-12 12:09 |
| gr-satellites-doc-5.5.0-r6.apk | 4623 | 2025-Oct-12 12:09 |
| smplxmpp-0.9.3-r5.apk | 149363 | 2025-Oct-12 12:09 |
| smplxmpp-doc-0.9.3-r5.apk | 25428 | 2025-Oct-12 12:09 |
| quark-0.5-r0.apk | 2065611 | 2025-Oct-11 17:10 |
| quark-doc-0.5-r0.apk | 4520 | 2025-Oct-11 17:10 |
| fluent-bit-openrc-4.1.1-r0.apk | 1753 | 2025-Oct-11 17:10 |
| fluent-bit-dev-4.1.1-r0.apk | 141908 | 2025-Oct-11 17:10 |
| fluent-bit-4.1.1-r0.apk | 8323559 | 2025-Oct-11 17:10 |
| py3-sphinx-autoapi-pyc-3.6.1-r0.apk | 60333 | 2025-Oct-11 10:59 |
| py3-sphinx-autoapi-3.6.1-r0.apk | 32091 | 2025-Oct-11 10:59 |
| py3-nikola-doc-8.3.3-r0.apk | 62738 | 2025-Oct-11 10:49 |
| py3-nikola-pyc-8.3.3-r0.apk | 558486 | 2025-Oct-11 10:49 |
| py3-nikola-8.3.3-r0.apk | 4549186 | 2025-Oct-11 10:49 |
| kiesel-0_git20251010-r0.apk | 6109257 | 2025-Oct-10 20:43 |
| kiesel-doc-0_git20251010-r0.apk | 3792 | 2025-Oct-10 20:43 |
| grafana-image-renderer-openrc-4.0.17-r0.apk | 2030 | 2025-Oct-10 17:54 |
| grafana-image-renderer-4.0.17-r0.apk | 64733140 | 2025-Oct-10 17:54 |
| cargo-geiger-doc-0.13.0-r0.apk | 7864 | 2025-Oct-10 17:31 |
| cargo-geiger-0.13.0-r0.apk | 5386266 | 2025-Oct-10 17:31 |
| cdogs-sdl-2.3.2-r0.apk | 29349589 | 2025-Oct-09 18:48 |
| php85-pecl-oauth-2.0.10-r0.apk | 36364 | 2025-Oct-09 18:38 |
| php84-pecl-oauth-2.0.10-r0.apk | 35251 | 2025-Oct-09 18:38 |
| php83-pecl-oauth-2.0.10-r0.apk | 35214 | 2025-Oct-09 18:38 |
| php82-pecl-oauth-2.0.10-r0.apk | 35155 | 2025-Oct-09 18:38 |
| php81-pecl-oauth-2.0.10-r0.apk | 34956 | 2025-Oct-09 18:38 |
| squeak-vm-4.10.2.2614-r2.apk | 594847 | 2025-Oct-09 12:30 |
| squeak-vm-doc-4.10.2.2614-r2.apk | 12641 | 2025-Oct-09 12:30 |
| scratch-doc-1.4.0.7-r2.apk | 2670 | 2025-Oct-09 12:30 |
| scratch-1.4.0.7-r2.apk | 41201890 | 2025-Oct-09 12:30 |
| hyprsunset-0.3.3-r0.apk | 143650 | 2025-Oct-09 12:12 |
| hyprsunset-doc-0.3.3-r0.apk | 2482 | 2025-Oct-09 12:12 |
| hyprsunset-openrc-0.3.3-r0.apk | 1797 | 2025-Oct-09 12:12 |
| cadence-0.9.2-r1.apk | 1554873 | 2025-Oct-09 10:53 |
| zrepl-0.6.1-r15.apk | 7406382 | 2025-Oct-09 07:23 |
| zpaq-doc-7.15-r0.apk | 16664 | 2025-Oct-09 07:23 |
| zpaq-7.15-r0.apk | 196773 | 2025-Oct-09 07:23 |
| zot-openrc-2.1.8-r1.apk | 2049 | 2025-Oct-09 07:23 |
| zot-exporter-2.1.8-r1.apk | 4328366 | 2025-Oct-09 07:23 |
| zot-doc-2.1.8-r1.apk | 9634 | 2025-Oct-09 07:23 |
| zot-cli-zsh-completion-2.1.8-r1.apk | 4133 | 2025-Oct-09 07:23 |
| zot-cli-fish-completion-2.1.8-r1.apk | 4417 | 2025-Oct-09 07:23 |
| zot-cli-bash-completion-2.1.8-r1.apk | 6240 | 2025-Oct-09 07:23 |
| zot-cli-2.1.8-r1.apk | 11229288 | 2025-Oct-09 07:23 |
| zot-2.1.8-r1.apk | 82280035 | 2025-Oct-09 07:23 |
| zrepl-zsh-completion-0.6.1-r15.apk | 1992 | 2025-Oct-09 07:23 |
| zrepl-openrc-0.6.1-r15.apk | 1761 | 2025-Oct-09 07:23 |
| zrepl-bash-completion-0.6.1-r15.apk | 4575 | 2025-Oct-09 07:23 |
| zarf-0.32.1-r14.apk | 58868214 | 2025-Oct-09 07:23 |
| yubikey-agent-0.1.6-r16.apk | 2063984 | 2025-Oct-09 07:23 |
| ytt-0.52.1-r1.apk | 5036384 | 2025-Oct-09 07:23 |
| yamldiff-doc-0.3.0-r2.apk | 2304 | 2025-Oct-09 07:23 |
| yamldiff-0.3.0-r2.apk | 1821885 | 2025-Oct-09 07:23 |
| yaml-language-server-doc-1.19.2-r0.apk | 2335 | 2025-Oct-09 07:23 |
| yaml-language-server-1.19.2-r0.apk | 682035 | 2025-Oct-09 07:23 |
| yaegi-0.16.1-r12.apk | 8009881 | 2025-Oct-09 07:23 |
| xmpp-dns-0.2.4-r28.apk | 2083756 | 2025-Oct-09 07:23 |
| wtfutil-0.43.0-r17.apk | 21122749 | 2025-Oct-09 07:23 |
| wl-gammarelay-0.1.3-r3.apk | 1826157 | 2025-Oct-09 07:23 |
| webtunnel-0.0.2-r5.apk | 4001001 | 2025-Oct-09 07:23 |
| webhookd-openrc-1.20.2-r4.apk | 2305 | 2025-Oct-09 07:23 |
| webhookd-doc-1.20.2-r4.apk | 2315 | 2025-Oct-09 07:23 |
| webhookd-1.20.2-r4.apk | 3591617 | 2025-Oct-09 07:23 |
| wazero-1.9.0-r6.apk | 2229458 | 2025-Oct-09 07:23 |
| walk-doc-1.13.0-r7.apk | 2284 | 2025-Oct-09 07:23 |
| walk-1.13.0-r7.apk | 3371344 | 2025-Oct-09 07:23 |
| virtualgl-doc-3.1.4-r0.apk | 322222 | 2025-Oct-09 07:23 |
| virtualgl-dev-3.1.4-r0.apk | 6267 | 2025-Oct-09 07:23 |
| virtualgl-3.1.4-r0.apk | 1980297 | 2025-Oct-09 07:23 |
| virter-zsh-completion-0.29.0-r4.apk | 4149 | 2025-Oct-09 07:23 |
| virter-fish-completion-0.29.0-r4.apk | 4432 | 2025-Oct-09 07:23 |
| virter-doc-0.29.0-r4.apk | 15237 | 2025-Oct-09 07:23 |
| virter-bash-completion-0.29.0-r4.apk | 6249 | 2025-Oct-09 07:23 |
| virter-0.29.0-r4.apk | 6335297 | 2025-Oct-09 07:23 |
| up-0.4-r33.apk | 1323424 | 2025-Oct-09 07:23 |
| undock-0.10.0-r4.apk | 11040467 | 2025-Oct-09 07:23 |
| tty-share-2.4.0-r22.apk | 4108775 | 2025-Oct-09 07:23 |
| tty-proxy-0.0.2-r32.apk | 2835326 | 2025-Oct-09 07:23 |
| timoni-zsh-completion-0.23.0-r9.apk | 4136 | 2025-Oct-09 07:23 |
| tree-sitter-gleam-1.1.0-r0.apk | 64987 | 2025-Oct-09 07:23 |
| transito-doc-0.9.1-r12.apk | 773129 | 2025-Oct-09 07:23 |
| transito-0.9.1-r12.apk | 8986587 | 2025-Oct-09 07:23 |
| tqm-1.17.0-r1.apk | 5088755 | 2025-Oct-09 07:23 |
| tonutils-reverse-proxy-doc-0.4.6-r3.apk | 3311 | 2025-Oct-09 07:23 |
| tonutils-reverse-proxy-0.4.6-r3.apk | 4762099 | 2025-Oct-09 07:23 |
| tofutf-server-openrc-0.10.0-r9.apk | 2049 | 2025-Oct-09 07:23 |
| tofutf-server-0.10.0-r9.apk | 14557368 | 2025-Oct-09 07:23 |
| tofutf-cli-0.10.0-r9.apk | 9197968 | 2025-Oct-09 07:23 |
| tofutf-agent-openrc-0.10.0-r9.apk | 2038 | 2025-Oct-09 07:23 |
| tofutf-agent-0.10.0-r9.apk | 9958441 | 2025-Oct-09 07:23 |
| tofutf-0.10.0-r9.apk | 1298 | 2025-Oct-09 07:23 |
| tofu-ls-doc-0.0.9-r2.apk | 35950 | 2025-Oct-09 07:23 |
| tofu-ls-0.0.9-r2.apk | 6366789 | 2025-Oct-09 07:23 |
| tmpl-doc-0.4.0-r15.apk | 2309 | 2025-Oct-09 07:23 |
| tmpl-0.4.0-r15.apk | 2934727 | 2025-Oct-09 07:23 |
| timoni-fish-completion-0.23.0-r9.apk | 4422 | 2025-Oct-09 07:23 |
| timoni-doc-0.23.0-r9.apk | 346047 | 2025-Oct-09 07:23 |
| timoni-bash-completion-0.23.0-r9.apk | 8145 | 2025-Oct-09 07:23 |
| timoni-0.23.0-r9.apk | 25376865 | 2025-Oct-09 07:23 |
| tetragon-client-bash-completion-1.1.2-r9.apk | 5195 | 2025-Oct-09 07:23 |
| tetragon-client-1.1.2-r9.apk | 22030531 | 2025-Oct-09 07:23 |
| tenv-zsh-completion-4.7.6-r4.apk | 4140 | 2025-Oct-09 07:23 |
| tenv-fish-completion-4.7.6-r4.apk | 4427 | 2025-Oct-09 07:23 |
| tenv-bash-completion-4.7.6-r4.apk | 6247 | 2025-Oct-09 07:23 |
| tenv-4.7.6-r4.apk | 10518667 | 2025-Oct-09 07:23 |
| tetragon-client-zsh-completion-1.1.2-r9.apk | 4146 | 2025-Oct-09 07:23 |
| thanos-openrc-0.31.0-r17.apk | 1992 | 2025-Oct-09 07:23 |
| tetragon-client-fish-completion-1.1.2-r9.apk | 4431 | 2025-Oct-09 07:23 |
| thanos-0.31.0-r17.apk | 22927326 | 2025-Oct-09 07:23 |
| tfupdate-doc-0.8.2-r10.apk | 2323 | 2025-Oct-09 07:23 |
| tfupdate-0.8.2-r10.apk | 5312121 | 2025-Oct-09 07:23 |
| taskcafe-openrc-0.3.6-r17.apk | 1866 | 2025-Oct-09 07:23 |
| taskcafe-0.3.6-r17.apk | 14978578 | 2025-Oct-09 07:23 |
| tangctl-0_git20241007-r8.apk | 2882914 | 2025-Oct-09 07:23 |
| spacectl-fish-completion-1.12.0-r5.apk | 7227 | 2025-Oct-09 07:23 |
| spacectl-doc-1.12.0-r5.apk | 2342 | 2025-Oct-09 07:23 |
| spacectl-bash-completion-1.12.0-r5.apk | 2100 | 2025-Oct-09 07:23 |
| spacectl-1.12.0-r5.apk | 6259246 | 2025-Oct-09 07:23 |
| sonicradio-0.8.4-r1.apk | 3525157 | 2025-Oct-09 07:23 |
| sos-0.8-r35.apk | 3006916 | 2025-Oct-09 07:23 |
| stayrtr-openrc-0.6.2-r8.apk | 2024 | 2025-Oct-09 07:23 |
| stayrtr-0.6.2-r8.apk | 11658909 | 2025-Oct-09 07:23 |
| sshsrv-1.0-r16.apk | 1086210 | 2025-Oct-09 07:23 |
| sq-zsh-completion-0.48.5-r9.apk | 4113 | 2025-Oct-09 07:23 |
| sq-fish-completion-0.48.5-r9.apk | 4394 | 2025-Oct-09 07:23 |
| sq-doc-0.48.5-r9.apk | 6023 | 2025-Oct-09 07:23 |
| sq-bash-completion-0.48.5-r9.apk | 5162 | 2025-Oct-09 07:23 |
| sq-0.48.5-r9.apk | 11163805 | 2025-Oct-09 07:23 |
| speedtest_exporter-openrc-0.3.2-r19.apk | 1904 | 2025-Oct-09 07:23 |
| speedtest_exporter-0.3.2-r19.apk | 4300733 | 2025-Oct-09 07:23 |
| speedtest-go-openrc-1.1.5-r19.apk | 1775 | 2025-Oct-09 07:23 |
| speedtest-go-doc-1.1.5-r19.apk | 4619 | 2025-Oct-09 07:23 |
| speedtest-go-1.1.5-r19.apk | 5990045 | 2025-Oct-09 07:23 |
| spacectl-zsh-completion-1.12.0-r5.apk | 1852 | 2025-Oct-09 07:23 |
| rke-1.4.3-r19.apk | 21626500 | 2025-Oct-09 07:23 |
| sish-openrc-2.16.1-r9.apk | 1957 | 2025-Oct-09 07:23 |
| sish-2.16.1-r9.apk | 8747085 | 2025-Oct-09 07:23 |
| sipexer-1.2.0-r7.apk | 3091032 | 2025-Oct-09 07:23 |
| simpleiot-0.18.3-r4.apk | 11730182 | 2025-Oct-09 07:23 |
| secsipidx-libs-1.3.2-r16.apk | 2696242 | 2025-Oct-09 07:23 |
| secsipidx-dev-1.3.2-r16.apk | 5379678 | 2025-Oct-09 07:23 |
| secsipidx-1.3.2-r16.apk | 3021005 | 2025-Oct-09 07:23 |
| seaweedfs-openrc-3.93-r3.apk | 1958 | 2025-Oct-09 07:23 |
| seaweedfs-doc-3.93-r3.apk | 14086 | 2025-Oct-09 07:23 |
| seaweedfs-3.93-r3.apk | 28600348 | 2025-Oct-09 07:23 |
| scalingo-1.30.0-r14.apk | 5885211 | 2025-Oct-09 07:23 |
| satellite-openrc-1.0.0-r32.apk | 1944 | 2025-Oct-09 07:23 |
| satellite-doc-1.0.0-r32.apk | 3090 | 2025-Oct-09 07:23 |
| satellite-1.0.0-r32.apk | 2447449 | 2025-Oct-09 07:23 |
| s5cmd-2.3.0-r7.apk | 5561744 | 2025-Oct-09 07:23 |
| ruuvi-prometheus-openrc-0.1.9-r6.apk | 1712 | 2025-Oct-09 07:23 |
| ruuvi-prometheus-0.1.9-r6.apk | 3659003 | 2025-Oct-09 07:23 |
| rke-doc-1.4.3-r19.apk | 3028 | 2025-Oct-09 07:23 |
| snippets-ls-0.0.4_git20240617-r9.apk | 1469013 | 2025-Oct-09 07:23 |
| remco-openrc-0.12.5-r4.apk | 1738 | 2025-Oct-09 07:23 |
| remco-doc-0.12.5-r4.apk | 2310 | 2025-Oct-09 07:23 |
| remco-0.12.5-r4.apk | 10580527 | 2025-Oct-09 07:23 |
| regclient-0.9.2-r1.apk | 14994086 | 2025-Oct-09 07:23 |
| reg-0.16.1-r32.apk | 5067308 | 2025-Oct-09 07:23 |
| qbittorrent-cli-2.2.0-r4.apk | 6212679 | 2025-Oct-09 07:23 |
| pyonji-0.1.0-r10.apk | 3146303 | 2025-Oct-09 07:23 |
| pwru-1.0.7-r9.apk | 3663808 | 2025-Oct-09 07:23 |
| pug-0.6.2-r3.apk | 4519680 | 2025-Oct-09 07:23 |
| ptcpdump-0.35.0-r2.apk | 12395205 | 2025-Oct-09 07:23 |
| pomo-0.8.1-r27.apk | 1830752 | 2025-Oct-09 07:23 |
| prometheus-smtp2go-exporter-0.1.1-r3.apk | 3775710 | 2025-Oct-09 07:23 |
| protoconf-0.1.7-r17.apk | 8367400 | 2025-Oct-09 07:23 |
| prometheus-smartctl-exporter-openrc-0.14.0-r4.apk | 1938 | 2025-Oct-09 07:23 |
| prometheus-smartctl-exporter-0.14.0-r4.apk | 5109174 | 2025-Oct-09 07:23 |
| prometheus-rethinkdb-exporter-openrc-1.0.1-r32.apk | 1704 | 2025-Oct-09 07:23 |
| prometheus-rethinkdb-exporter-1.0.1-r32.apk | 4615812 | 2025-Oct-09 07:23 |
| prometheus-podman-exporter-1.18.1-r1.apk | 16253764 | 2025-Oct-09 07:23 |
| prometheus-opnsense-exporter-openrc-0.0.11-r1.apk | 2145 | 2025-Oct-09 07:23 |
| prometheus-opnsense-exporter-0.0.11-r1.apk | 5263320 | 2025-Oct-09 07:23 |
| prometheus-ceph-exporter-openrc-4.2.5-r8.apk | 1945 | 2025-Oct-09 07:23 |
| prometheus-ceph-exporter-4.2.5-r8.apk | 3957743 | 2025-Oct-09 07:23 |
| popeye-0.22.1-r8.apk | 30156210 | 2025-Oct-09 07:23 |
| pomo-doc-0.8.1-r27.apk | 2801 | 2025-Oct-09 07:23 |
| prometheus-smtp2go-exporter-openrc-0.1.1-r3.apk | 2021 | 2025-Oct-09 07:23 |
| perl-astro-coords-doc-0.23-r0.apk | 41310 | 2025-Oct-09 07:23 |
| php81-spx-0.4.21-r0.apk | 107659 | 2025-Oct-09 07:23 |
| php81-pecl-mongodb-2.1.4-r0.apk | 827547 | 2025-Oct-09 07:23 |
| perl-astro-coords-0.23-r0.apk | 53851 | 2025-Oct-09 07:23 |
| pathvector-6.3.2-r17.apk | 4342646 | 2025-Oct-09 07:23 |
| otf-server-openrc-0.4.1-r1.apk | 2043 | 2025-Oct-09 07:23 |
| otf-server-0.4.1-r1.apk | 14208021 | 2025-Oct-09 07:23 |
| otf-cli-0.4.1-r1.apk | 8901948 | 2025-Oct-09 07:23 |
| otf-agent-openrc-0.4.1-r1.apk | 2040 | 2025-Oct-09 07:23 |
| otf-agent-0.4.1-r1.apk | 9066428 | 2025-Oct-09 07:23 |
| net-predictable-1.5.1-r4.apk | 1013788 | 2025-Oct-09 07:23 |
| opa-zsh-completion-1.6.0-r3.apk | 4139 | 2025-Oct-09 07:23 |
| opa-fish-completion-1.6.0-r3.apk | 4420 | 2025-Oct-09 07:23 |
| opa-doc-1.6.0-r3.apk | 23466 | 2025-Oct-09 07:23 |
| opa-bash-completion-1.6.0-r3.apk | 6242 | 2025-Oct-09 07:23 |
| opa-1.6.0-r3.apk | 11282106 | 2025-Oct-09 07:23 |
| oauth2-proxy-openrc-7.11.0-r3.apk | 2161 | 2025-Oct-09 07:23 |
| oauth2-proxy-7.11.0-r3.apk | 9613693 | 2025-Oct-09 07:23 |
| nwg-menu-doc-0.1.9-r3.apk | 2317 | 2025-Oct-09 07:23 |
| nwg-menu-0.1.9-r3.apk | 1838722 | 2025-Oct-09 07:23 |
| nwg-dock-0.4.3-r4.apk | 1893123 | 2025-Oct-09 07:23 |
| nwg-bar-0.1.6-r14.apk | 1722024 | 2025-Oct-09 07:23 |
| nuclei-doc-3.4.10-r2.apk | 2328 | 2025-Oct-09 07:23 |
| nuclei-3.4.10-r2.apk | 32888086 | 2025-Oct-09 07:23 |
| nom-doc-2.8.0-r7.apk | 4118 | 2025-Oct-09 07:23 |
| nom-2.8.0-r7.apk | 7541203 | 2025-Oct-09 07:23 |
| noggin-doc-0.1-r22.apk | 2986 | 2025-Oct-09 07:23 |
| noggin-0.1-r22.apk | 1470354 | 2025-Oct-09 07:23 |
| net-predictable-doc-1.5.1-r4.apk | 2295 | 2025-Oct-09 07:23 |
| otf-0.4.1-r1.apk | 1300 | 2025-Oct-09 07:23 |
| mtg-openrc-2.1.7-r25.apk | 1907 | 2025-Oct-09 07:23 |
| mtg-2.1.7-r25.apk | 4953647 | 2025-Oct-09 07:23 |
| msh-openrc-2.5.0-r16.apk | 2042 | 2025-Oct-09 07:23 |
| msh-2.5.0-r16.apk | 3197933 | 2025-Oct-09 07:23 |
| mqtt2prometheus-0.1.7-r20.apk | 4886336 | 2025-Oct-09 07:23 |
| mods-doc-1.8.1-r2.apk | 2309 | 2025-Oct-09 07:23 |
| mods-1.8.1-r2.apk | 11110345 | 2025-Oct-09 07:23 |
| mobroute-doc-0.10.0-r4.apk | 1401645 | 2025-Oct-09 07:23 |
| mobroute-0.10.0-r4.apk | 4962811 | 2025-Oct-09 07:23 |
| mkdotenv-0.4.9-r1.apk | 996676 | 2025-Oct-09 07:23 |
| mkcert-1.4.4-r23.apk | 1936383 | 2025-Oct-09 07:23 |
| minikube-zsh-completion-1.34.0-r9.apk | 11632 | 2025-Oct-09 07:23 |
| minikube-fish-completion-1.34.0-r9.apk | 4755 | 2025-Oct-09 07:23 |
| minikube-bash-completion-1.34.0-r9.apk | 10316 | 2025-Oct-09 07:23 |
| minikube-1.34.0-r9.apk | 22311783 | 2025-Oct-09 07:23 |
| manifest-tool-2.2.0-r5.apk | 4322243 | 2025-Oct-09 07:23 |
| mangal-zsh-completion-4.0.6-r22.apk | 4093 | 2025-Oct-09 07:23 |
| mangal-fish-completion-4.0.6-r22.apk | 4016 | 2025-Oct-09 07:23 |
| mangal-bash-completion-4.0.6-r22.apk | 5111 | 2025-Oct-09 07:23 |
| mangal-4.0.6-r22.apk | 11177593 | 2025-Oct-09 07:23 |
| makeclapman-doc-2.4.4-r9.apk | 4253 | 2025-Oct-09 07:23 |
| lxd-feature-scripts-5.20-r15.apk | 2182 | 2025-Oct-09 07:23 |
| lxd-feature-openrc-5.20-r15.apk | 2477 | 2025-Oct-09 07:23 |
| lxd-feature-doc-5.20-r15.apk | 1710 | 2025-Oct-09 07:23 |
| makeclapman-2.4.4-r9.apk | 1478744 | 2025-Oct-09 07:23 |
| lxd-feature-bash-completion-5.20-r15.apk | 5250 | 2025-Oct-09 07:23 |
| lxd-feature-5.20-r15.apk | 73178858 | 2025-Oct-09 07:23 |
| maildir2rss-0.0.7-r9.apk | 3950304 | 2025-Oct-09 07:23 |
| mailsec-check-0_git20210729-r30.apk | 2859357 | 2025-Oct-09 07:23 |
| linkquisition-1.6.1-r9.apk | 13160309 | 2025-Oct-09 07:23 |
| kuma-cp-openrc-2.10.1-r5.apk | 2204 | 2025-Oct-09 07:23 |
| kuma-cp-2.10.1-r5.apk | 31431828 | 2025-Oct-09 07:23 |
| kumactl-bash-completion-2.10.1-r5.apk | 10523 | 2025-Oct-09 07:23 |
| legume-doc-1.4.2-r13.apk | 12618 | 2025-Oct-09 07:23 |
| legume-1.4.2-r13.apk | 1654420 | 2025-Oct-09 07:23 |
| lefthook-doc-1.13.5-r1.apk | 2302 | 2025-Oct-09 07:23 |
| lefthook-1.13.5-r1.apk | 6422856 | 2025-Oct-09 07:23 |
| kumactl-zsh-completion-2.10.1-r5.apk | 4136 | 2025-Oct-09 07:23 |
| kumactl-fish-completion-2.10.1-r5.apk | 4425 | 2025-Oct-09 07:23 |
| kumactl-2.10.1-r5.apk | 28482993 | 2025-Oct-09 07:23 |
| kuma-dp-openrc-2.10.1-r5.apk | 2189 | 2025-Oct-09 07:23 |
| kuma-dp-2.10.1-r5.apk | 25413822 | 2025-Oct-09 07:23 |
| kubepug-1.7.1-r14.apk | 17706717 | 2025-Oct-09 07:23 |
| kubepug-fish-completion-1.7.1-r14.apk | 4436 | 2025-Oct-09 07:23 |
| kubepug-bash-completion-1.7.1-r14.apk | 5247 | 2025-Oct-09 07:23 |
| kubepug-zsh-completion-1.7.1-r14.apk | 4147 | 2025-Oct-09 07:23 |
| kubectl-krew-0.4.5-r6.apk | 5055943 | 2025-Oct-09 07:23 |
| kubeseal-0.32.1-r1.apk | 12501832 | 2025-Oct-09 07:23 |
| kubeseal-doc-0.32.1-r1.apk | 5660 | 2025-Oct-09 07:23 |
| kompose-zsh-completion-1.31.2-r14.apk | 6939 | 2025-Oct-09 07:23 |
| kompose-fish-completion-1.31.2-r14.apk | 4477 | 2025-Oct-09 07:23 |
| kompose-bash-completion-1.31.2-r14.apk | 5726 | 2025-Oct-09 07:23 |
| kompose-1.31.2-r14.apk | 7907765 | 2025-Oct-09 07:23 |
| ko-zsh-completion-0.17.1-r9.apk | 4114 | 2025-Oct-09 07:23 |
| ko-fish-completion-0.17.1-r9.apk | 4394 | 2025-Oct-09 07:23 |
| ko-bash-completion-0.17.1-r9.apk | 5163 | 2025-Oct-09 07:23 |
| ko-0.17.1-r9.apk | 11331727 | 2025-Oct-09 07:23 |
| kubeconform-0.7.0-r2.apk | 3998279 | 2025-Oct-09 07:23 |
| kube-no-trouble-0.7.3-r9.apk | 14750448 | 2025-Oct-09 07:23 |
| kops-1.28.4-r14.apk | 37465640 | 2025-Oct-09 07:23 |
| kopia-zsh-completion-0.21.1-r3.apk | 1832 | 2025-Oct-09 07:23 |
| kopia-bash-completion-0.21.1-r3.apk | 1806 | 2025-Oct-09 07:23 |
| kopia-0.21.1-r3.apk | 17569439 | 2025-Oct-09 07:23 |
| kismet-logtools-0.202509.1-r0.apk | 1187575 | 2025-Oct-09 07:23 |
| kismet-nxp-kw41z-0.202509.1-r0.apk | 46666 | 2025-Oct-09 07:23 |
| kismet-nrf-51822-0.202509.1-r0.apk | 45146 | 2025-Oct-09 07:23 |
| kismet-linux-wifi-0.202509.1-r0.apk | 66474 | 2025-Oct-09 07:23 |
| kismet-linux-bluetooth-0.202509.1-r0.apk | 47230 | 2025-Oct-09 07:23 |
| kismet-0.202509.1-r0.apk | 12894623 | 2025-Oct-09 07:23 |
| kine-doc-0.10.1-r17.apk | 5274 | 2025-Oct-09 07:23 |
| kine-0.10.1-r17.apk | 8605083 | 2025-Oct-09 07:23 |
| khinsider-2.0.7-r24.apk | 3815235 | 2025-Oct-09 07:23 |
| keybase-client-6.2.8-r14.apk | 19908589 | 2025-Oct-09 07:23 |
| katana-doc-1.2.2-r2.apk | 2311 | 2025-Oct-09 07:23 |
| katana-1.2.2-r2.apk | 15108612 | 2025-Oct-09 07:23 |
| kapow-0.7.1-r17.apk | 3942385 | 2025-Oct-09 07:23 |
| k3sup-zsh-completion-0.13.6-r9.apk | 4090 | 2025-Oct-09 07:23 |
| k3sup-fish-completion-0.13.6-r9.apk | 4377 | 2025-Oct-09 07:23 |
| k3sup-bash-completion-0.13.6-r9.apk | 5138 | 2025-Oct-09 07:23 |
| k3sup-0.13.6-r9.apk | 2933922 | 2025-Oct-09 07:23 |
| jsonnet-bundler-0.6.0-r9.apk | 3563529 | 2025-Oct-09 07:23 |
| jfrog-cli-2.45.0-r16.apk | 10097064 | 2025-Oct-09 07:23 |
| jackal-openrc-0.64.0-r19.apk | 1879 | 2025-Oct-09 07:23 |
| jackal-0.64.0-r19.apk | 13096020 | 2025-Oct-09 07:23 |
| ircdog-0.5.4-r9.apk | 2666624 | 2025-Oct-09 07:23 |
| ipp-usb-openrc-0.9.30-r4.apk | 1770 | 2025-Oct-09 07:23 |
| ipp-usb-doc-0.9.30-r4.apk | 9029 | 2025-Oct-09 07:23 |
| ipp-usb-0.9.30-r4.apk | 2726122 | 2025-Oct-09 07:23 |
| invidtui-0.4.6-r9.apk | 4426368 | 2025-Oct-09 07:23 |
| imgdiff-doc-1.0.2-r30.apk | 2314 | 2025-Oct-09 07:23 |
| imgdiff-1.0.2-r30.apk | 1096120 | 2025-Oct-09 07:23 |
| ijq-doc-1.2.0-r1.apk | 3677 | 2025-Oct-09 07:23 |
| ijq-1.2.0-r1.apk | 1571550 | 2025-Oct-09 07:23 |
| hubble-cli-zsh-completion-0.13.6-r9.apk | 4149 | 2025-Oct-09 07:23 |
| hubble-cli-fish-completion-0.13.6-r9.apk | 4440 | 2025-Oct-09 07:23 |
| hubble-cli-bash-completion-0.13.6-r9.apk | 5194 | 2025-Oct-09 07:23 |
| hubble-cli-0.13.6-r9.apk | 19363935 | 2025-Oct-09 07:23 |
| hub-zsh-completion-2.14.2-r35.apk | 3798 | 2025-Oct-09 07:23 |
| hub-fish-completion-2.14.2-r35.apk | 3387 | 2025-Oct-09 07:23 |
| hub-doc-2.14.2-r35.apk | 43035 | 2025-Oct-09 07:23 |
| hub-bash-completion-2.14.2-r35.apk | 4695 | 2025-Oct-09 07:23 |
| hub-2.14.2-r35.apk | 3160620 | 2025-Oct-09 07:23 |
| hilbish-2.3.4-r9.apk | 3836536 | 2025-Oct-09 07:23 |
| hilbish-doc-2.3.4-r9.apk | 25532 | 2025-Oct-09 07:23 |
| gx-go-doc-1.9.0-r36.apk | 2321 | 2025-Oct-09 07:23 |
| gx-go-1.9.0-r36.apk | 5372336 | 2025-Oct-09 07:23 |
| gx-doc-0.14.3-r34.apk | 2326 | 2025-Oct-09 07:23 |
| gx-0.14.3-r34.apk | 5094997 | 2025-Oct-09 07:23 |
| grpcurl-1.9.3-r7.apk | 8945378 | 2025-Oct-09 07:23 |
| grpcui-1.5.1-r3.apk | 9396900 | 2025-Oct-09 07:23 |
| gyosu-0.2.0-r2.apk | 2078770 | 2025-Oct-09 07:23 |
| ghq-1.8.0-r5.apk | 4097514 | 2025-Oct-09 07:23 |
| gotify-openrc-2.7.2-r1.apk | 2043 | 2025-Oct-09 07:23 |
| gotify-cli-2.3.2-r9.apk | 4673317 | 2025-Oct-09 07:23 |
| gotify-2.7.2-r1.apk | 11618573 | 2025-Oct-09 07:23 |
| goreman-0.3.15-r17.apk | 2706573 | 2025-Oct-09 07:23 |
| gomp-1.0.0-r16.apk | 3942441 | 2025-Oct-09 07:23 |
| godini-doc-1.0.0-r4.apk | 15129 | 2025-Oct-09 07:23 |
| godini-1.0.0-r4.apk | 1629059 | 2025-Oct-09 07:23 |
| gobuster-3.8.0-r2.apk | 3985937 | 2025-Oct-09 07:23 |
| go-passbolt-cli-0.3.2-r7.apk | 6680385 | 2025-Oct-09 07:23 |
| go-mtpfs-1.0.0-r31.apk | 1297640 | 2025-Oct-09 07:23 |
| go-jsonnet-0.21.0-r4.apk | 7269369 | 2025-Oct-09 07:23 |
| glow-zsh-completion-2.1.1-r4.apk | 4142 | 2025-Oct-09 07:23 |
| glow-fish-completion-2.1.1-r4.apk | 4421 | 2025-Oct-09 07:23 |
| glow-doc-2.1.1-r4.apk | 3272 | 2025-Oct-09 07:23 |
| glow-bash-completion-2.1.1-r4.apk | 6245 | 2025-Oct-09 07:23 |
| glow-2.1.1-r4.apk | 6525388 | 2025-Oct-09 07:23 |
| gliderlabs-sigil-doc-0.11.0-r9.apk | 2477 | 2025-Oct-09 07:23 |
| gliderlabs-sigil-0.11.0-r9.apk | 3562389 | 2025-Oct-09 07:23 |
| git-bug-zsh-completion-0.8.1-r5.apk | 4152 | 2025-Oct-09 07:23 |
| git-bug-fish-completion-0.8.1-r5.apk | 4439 | 2025-Oct-09 07:23 |
| git-bug-doc-0.8.1-r5.apk | 17261 | 2025-Oct-09 07:23 |
| git-bug-bash-completion-0.8.1-r5.apk | 5401 | 2025-Oct-09 07:23 |
| git-bug-0.8.1-r5.apk | 10877062 | 2025-Oct-09 07:23 |
| ghq-zsh-completion-1.8.0-r5.apk | 2550 | 2025-Oct-09 07:23 |
| ghq-fish-completion-1.8.0-r5.apk | 2612 | 2025-Oct-09 07:23 |
| ghq-doc-1.8.0-r5.apk | 5633 | 2025-Oct-09 07:23 |
| ghq-bash-completion-1.8.0-r5.apk | 1830 | 2025-Oct-09 07:23 |
| geodns-openrc-3.3.0-r17.apk | 1823 | 2025-Oct-09 07:23 |
| geodns-logs-3.3.0-r17.apk | 4824358 | 2025-Oct-09 07:23 |
| geodns-3.3.0-r17.apk | 5281590 | 2025-Oct-09 07:23 |
| gb-0.4.4-r35.apk | 7774419 | 2025-Oct-09 07:23 |
| drone-cli-1.8.0-r14.apk | 6417386 | 2025-Oct-09 07:23 |
| fq-0.15.1-r2.apk | 4785825 | 2025-Oct-09 07:23 |
| flannel-contrib-cni-0.27.2-r2.apk | 4401 | 2025-Oct-09 07:23 |
| flannel-openrc-0.27.2-r2.apk | 2003 | 2025-Oct-09 07:23 |
| flannel-0.27.2-r2.apk | 15506023 | 2025-Oct-09 07:23 |
| firectl-0.2.0-r23.apk | 3883266 | 2025-Oct-09 07:23 |
| filebrowser-openrc-2.27.0-r15.apk | 1867 | 2025-Oct-09 07:23 |
| filebrowser-2.27.0-r15.apk | 8035447 | 2025-Oct-09 07:23 |
| fathom-1.3.1-r17.apk | 5311346 | 2025-Oct-09 07:23 |
| exercism-zsh-completion-3.2.0-r17.apk | 2160 | 2025-Oct-09 07:23 |
| exercism-fish-completion-3.2.0-r17.apk | 2419 | 2025-Oct-09 07:23 |
| exercism-bash-completion-3.2.0-r17.apk | 2008 | 2025-Oct-09 07:23 |
| exercism-3.2.0-r17.apk | 4482337 | 2025-Oct-09 07:23 |
| ergo-ldap-doc-0.0.1-r21.apk | 2339 | 2025-Oct-09 07:23 |
| ergo-ldap-0.0.1-r21.apk | 2417732 | 2025-Oct-09 07:23 |
| envconsul-0.13.3-r7.apk | 5364343 | 2025-Oct-09 07:23 |
| eccodes-2.44.0-r0.apk | 11312087 | 2025-Oct-09 07:23 |
| duf-doc-0.9.1-r1.apk | 4831 | 2025-Oct-09 07:23 |
| duf-0.9.1-r1.apk | 1345544 | 2025-Oct-09 07:23 |
| dstask-zsh-completion-0.27-r3.apk | 1704 | 2025-Oct-09 07:23 |
| dstask-import-0.27-r3.apk | 3856204 | 2025-Oct-09 07:23 |
| dstask-fish-completion-0.27-r3.apk | 1714 | 2025-Oct-09 07:23 |
| dstask-bash-completion-0.27-r3.apk | 2161 | 2025-Oct-09 07:23 |
| dstask-0.27-r3.apk | 1702994 | 2025-Oct-09 07:23 |
| fynedesk-0.4.0-r1.apk | 13813826 | 2025-Oct-09 07:23 |
| docker-volume-local-persist-openrc-1.3.0-r37.apk | 1827 | 2025-Oct-09 07:23 |
| draw-0.1.1-r17.apk | 1091445 | 2025-Oct-09 07:23 |
| dolt-1.58.5-r2.apk | 41885594 | 2025-Oct-09 07:23 |
| dockerize-0.9.6-r1.apk | 3722706 | 2025-Oct-09 07:23 |
| docker-volume-local-persist-1.3.0-r37.apk | 2787953 | 2025-Oct-09 07:23 |
| docker-machine-driver-kvm2-1.34.0-r9.apk | 4584445 | 2025-Oct-09 07:23 |
| dive-0.13.0-r6.apk | 4222233 | 2025-Oct-09 07:23 |
| desync-0.9.6-r9.apk | 8375059 | 2025-Oct-09 07:23 |
| dmarc-cat-0.15.0-r9.apk | 3031810 | 2025-Oct-09 07:23 |
| devpod-0.6.15-r8.apk | 26091161 | 2025-Oct-09 07:23 |
| devpod-zsh-completion-0.6.15-r8.apk | 4131 | 2025-Oct-09 07:23 |
| devpod-fish-completion-0.6.15-r8.apk | 4414 | 2025-Oct-09 07:23 |
| devpod-bash-completion-0.6.15-r8.apk | 5177 | 2025-Oct-09 07:23 |
| cloudfoundry-cli-8.7.9-r13.apk | 9862759 | 2025-Oct-09 07:23 |
| cloudflared-openrc-2024.12.1-r10.apk | 1834 | 2025-Oct-09 07:23 |
| cloudflared-doc-2024.12.1-r10.apk | 1960 | 2025-Oct-09 07:23 |
| cloudflared-2024.12.1-r10.apk | 10166789 | 2025-Oct-09 07:23 |
| comics-downloader-0.33.8-r14.apk | 4137630 | 2025-Oct-09 07:23 |
| cortex-tenant-openrc-1.15.7-r1.apk | 2092 | 2025-Oct-09 07:23 |
| cortex-tenant-1.15.7-r1.apk | 4575986 | 2025-Oct-09 07:23 |
| consul-replicate-0.4.0-r35.apk | 3176910 | 2025-Oct-09 07:23 |
| conntracct-openrc-0.2.7-r35.apk | 1964 | 2025-Oct-09 07:23 |
| conntracct-0.2.7-r35.apk | 5535852 | 2025-Oct-09 07:23 |
| comics-downloader-gui-0.33.8-r14.apk | 6006988 | 2025-Oct-09 07:23 |
| cfssl-1.6.5-r9.apk | 31996321 | 2025-Oct-09 07:23 |
| cilium-cli-fish-completion-0.16.13-r9.apk | 4432 | 2025-Oct-09 07:23 |
| cilium-cli-bash-completion-0.16.13-r9.apk | 5182 | 2025-Oct-09 07:23 |
| cilium-cli-0.16.13-r9.apk | 58403475 | 2025-Oct-09 07:23 |
| chasquid-openrc-1.16.0-r2.apk | 2013 | 2025-Oct-09 07:23 |
| chasquid-doc-1.16.0-r2.apk | 11128 | 2025-Oct-09 07:23 |
| chasquid-1.16.0-r2.apk | 12233941 | 2025-Oct-09 07:23 |
| cilium-cli-zsh-completion-0.16.13-r9.apk | 4139 | 2025-Oct-09 07:23 |
| certigo-1.16.0-r27.apk | 4095801 | 2025-Oct-09 07:23 |
| certstrap-1.3.0-r28.apk | 2502383 | 2025-Oct-09 07:23 |
| captive-browser-doc-0_git20210801-r1.apk | 3770 | 2025-Oct-09 07:23 |
| captive-browser-0_git20210801-r1.apk | 1346469 | 2025-Oct-09 07:23 |
| butane-0.25.1-r1.apk | 3477947 | 2025-Oct-09 07:23 |
| btcd-0.24.0-r12.apk | 16659332 | 2025-Oct-09 07:23 |
| bootloose-0.7.1-r15.apk | 2412826 | 2025-Oct-09 07:23 |
| bomctl-zsh-completion-0.1.9-r10.apk | 4158 | 2025-Oct-09 07:23 |
| bomctl-fish-completion-0.1.9-r10.apk | 4449 | 2025-Oct-09 07:23 |
| bomctl-bash-completion-0.1.9-r10.apk | 5262 | 2025-Oct-09 07:23 |
| bomctl-0.1.9-r10.apk | 10120489 | 2025-Oct-09 07:23 |
| asdf-0.18.0-r4.apk | 1805219 | 2025-Oct-09 07:23 |
| bionic_translation-0_git20251008-r0.apk | 59331 | 2025-Oct-09 07:23 |
| bettercap-doc-2.41.4-r2.apk | 13919 | 2025-Oct-09 07:23 |
| bettercap-2.41.4-r2.apk | 20567134 | 2025-Oct-09 07:23 |
| bionic_translation-dev-0_git20251008-r0.apk | 1806 | 2025-Oct-09 07:23 |
| bazel8-bash-completion-8.4.2-r0.apk | 66963 | 2025-Oct-09 07:23 |
| bazel8-8.4.2-r0.apk | 35108687 | 2025-Oct-09 07:23 |
| avahi2dns-openrc-0.1.0-r5.apk | 1846 | 2025-Oct-09 07:23 |
| avahi2dns-0.1.0-r5.apk | 2703588 | 2025-Oct-09 07:23 |
| autoscan-openrc-1.4.0-r15.apk | 2098 | 2025-Oct-09 07:23 |
| autoscan-1.4.0-r15.apk | 5871517 | 2025-Oct-09 07:23 |
| autorestic-1.8.3-r9.apk | 4139303 | 2025-Oct-09 07:23 |
| asdf-doc-0.18.0-r4.apk | 2300 | 2025-Oct-09 07:23 |
| bionic_translation-dbg-0_git20251008-r0.apk | 151301 | 2025-Oct-09 07:23 |
| aprilsh-openrc-0.7.12-r9.apk | 1870 | 2025-Oct-09 07:23 |
| arduino-cli-1.3.1-r1.apk | 9478655 | 2025-Oct-09 07:23 |
| apx-doc-2.4.5-r4.apk | 2465 | 2025-Oct-09 07:23 |
| apx-2.4.5-r4.apk | 3856777 | 2025-Oct-09 07:23 |
| aprilsh-server-0.7.12-r9.apk | 2706725 | 2025-Oct-09 07:23 |
| aprilsh-doc-0.7.12-r9.apk | 14752 | 2025-Oct-09 07:23 |
| aprilsh-client-0.7.12-r9.apk | 3535716 | 2025-Oct-09 07:23 |
| aprilsh-0.7.12-r9.apk | 1683 | 2025-Oct-09 07:23 |
| aports-glmr-0.2-r32.apk | 2827989 | 2025-Oct-09 07:23 |
| antibody-6.1.1-r32.apk | 1991309 | 2025-Oct-09 07:23 |
| alps-openrc-0_git20230807-r16.apk | 2069 | 2025-Oct-09 07:23 |
| alps-0_git20230807-r16.apk | 6067550 | 2025-Oct-09 07:23 |
| alpine-lift-0.2.0-r27.apk | 4046424 | 2025-Oct-09 07:23 |
| alda-2.3.2-r4.apk | 18593679 | 2025-Oct-09 07:23 |
| acmetool-doc-0.2.2-r17.apk | 47794 | 2025-Oct-09 07:23 |
| acmetool-0.2.2-r17.apk | 4977032 | 2025-Oct-09 07:23 |
| xone-src-0.4.8-r0.apk | 58280 | 2025-Oct-07 17:56 |
| eiwd-doc-3.10-r0.apk | 21004 | 2025-Oct-07 11:53 |
| eiwd-openrc-3.10-r0.apk | 1927 | 2025-Oct-07 11:53 |
| eiwd-3.10-r0.apk | 877288 | 2025-Oct-07 11:53 |
| gmcapsule-pyc-0.9.8-r0.apk | 62242 | 2025-Oct-07 09:39 |
| gmcapsule-openrc-0.9.8-r0.apk | 2029 | 2025-Oct-07 09:39 |
| gmcapsule-0.9.8-r0.apk | 37046 | 2025-Oct-07 09:39 |
| libandroidfw-0_git20251004-r0.apk | 392627 | 2025-Oct-06 21:54 |
| libandroidfw-dev-0_git20251004-r0.apk | 7318 | 2025-Oct-06 21:54 |
| art_standalone-dev-0_git20251004-r0.apk | 9035529 | 2025-Oct-06 21:54 |
| art_standalone-dbg-0_git20251004-r0.apk | 143684102 | 2025-Oct-06 21:54 |
| art_standalone-0_git20251004-r0.apk | 19443676 | 2025-Oct-06 21:54 |
| android-translation-layer-dbg-0_git20251006-r0.apk | 913423 | 2025-Oct-06 21:54 |
| android-translation-layer-0_git20251006-r0.apk | 2887563 | 2025-Oct-06 21:54 |
| adbd-0_git20251004-r0.apk | 42789 | 2025-Oct-06 21:54 |
| snapweb-0.9.2-r0.apk | 487554 | 2025-Oct-06 21:15 |
| rivercarro-doc-0.6.0-r0.apk | 3177 | 2025-Oct-06 21:15 |
| rivercarro-0.6.0-r0.apk | 155300 | 2025-Oct-06 21:15 |
| libirecovery-progs-1.3.0-r0.apk | 8198 | 2025-Oct-06 17:37 |
| libtatsu-dev-1.0.5-r0.apk | 21650 | 2025-Oct-06 17:37 |
| libtatsu-1.0.5-r0.apk | 15885 | 2025-Oct-06 17:37 |
| libirecovery-dev-1.3.0-r0.apk | 4259 | 2025-Oct-06 17:37 |
| libirecovery-1.3.0-r0.apk | 24727 | 2025-Oct-06 17:37 |
| idevicerestore-doc-1.0.0_git20250914-r0.apk | 3497 | 2025-Oct-06 17:37 |
| idevicerestore-1.0.0_git20250914-r0.apk | 101734 | 2025-Oct-06 17:37 |
| certbot-dns-hetzner-pyc-2.0.1-r1.apk | 6613 | 2025-Oct-06 11:36 |
| certbot-dns-hetzner-2.0.1-r1.apk | 10390 | 2025-Oct-06 11:36 |
| vile-common-9.8z_p1-r2.apk | 362463 | 2025-Oct-06 11:28 |
| xvile-9.8z_p1-r2.apk | 827341 | 2025-Oct-06 11:28 |
| vile-9.8z_p1-r2.apk | 798283 | 2025-Oct-06 11:28 |
| pspp-doc-2.0.1-r1.apk | 9211 | 2025-Oct-06 11:28 |
| pspp-dbg-2.0.1-r1.apk | 4525727 | 2025-Oct-06 11:28 |
| pspp-2.0.1-r1.apk | 10409929 | 2025-Oct-06 11:28 |
| libemf2svg-utils-1.1.0-r3.apk | 17873 | 2025-Oct-06 11:28 |
| libemf2svg-1.1.0-r3.apk | 157452 | 2025-Oct-06 11:28 |
| biboumi-openrc-9.0-r9.apk | 1939 | 2025-Oct-06 11:28 |
| biboumi-doc-9.0-r9.apk | 1512 | 2025-Oct-06 11:28 |
| biboumi-9.0-r9.apk | 280852 | 2025-Oct-06 11:28 |
| vile-doc-9.8z_p1-r2.apk | 365756 | 2025-Oct-06 11:28 |
| tick-doc-1.2.3-r0.apk | 5648 | 2025-Oct-06 06:21 |
| tick-1.2.3-r0.apk | 10673 | 2025-Oct-06 06:21 |
| py3-irc-pyc-20.4.1-r1.apk | 71961 | 2025-Oct-06 06:21 |
| py3-irc-20.4.1-r1.apk | 41038 | 2025-Oct-06 06:21 |
| heisenbridge-pyc-1.15.4-r0.apk | 158678 | 2025-Oct-06 05:07 |
| heisenbridge-1.15.4-r0.apk | 68837 | 2025-Oct-06 05:07 |
| mimedefang-doc-3.6-r1.apk | 82993 | 2025-Oct-06 01:15 |
| mimedefang-3.6-r1.apk | 157321 | 2025-Oct-06 01:15 |
| vector-openrc-0.50.0-r0.apk | 2240 | 2025-Oct-05 19:20 |
| vector-doc-0.50.0-r0.apk | 5941 | 2025-Oct-05 19:20 |
| vector-0.50.0-r0.apk | 25009526 | 2025-Oct-05 19:20 |
| qadwaitadecorations-0.1.7-r1.apk | 46548 | 2025-Oct-05 10:28 |
| php81-pecl-opentelemetry-1.2.1-r0.apk | 12561 | 2025-Oct-04 23:14 |
| geoclue-stumbler-1.1-r0.apk | 42154 | 2025-Oct-04 17:03 |
| cargo-generate-0.23.5-r0.apk | 2393687 | 2025-Oct-04 13:14 |
| py3-duckdb-pyc-1.4.0-r0.apk | 152798 | 2025-Oct-04 11:04 |
| py3-duckdb-1.4.0-r0.apk | 21209113 | 2025-Oct-04 11:04 |
| libcotp-dev-3.1.1-r0.apk | 2588 | 2025-Oct-04 11:04 |
| libcotp-3.1.1-r0.apk | 8109 | 2025-Oct-04 11:04 |
| duckdb-libs-1.4.0-r0.apk | 19919767 | 2025-Oct-04 11:04 |
| duckdb-doc-1.4.0-r0.apk | 2285 | 2025-Oct-04 11:04 |
| duckdb-dev-1.4.0-r0.apk | 27456983 | 2025-Oct-04 11:04 |
| duckdb-1.4.0-r0.apk | 18627517 | 2025-Oct-04 11:04 |
| xmoto-lang-0.6.3-r0.apk | 544831 | 2025-Oct-03 17:02 |
| xmoto-doc-0.6.3-r0.apk | 6045 | 2025-Oct-03 17:02 |
| xmoto-data-0.6.3-r0.apk | 38475773 | 2025-Oct-03 17:02 |
| xmoto-0.6.3-r0.apk | 2065545 | 2025-Oct-03 17:02 |
| libre-dev-4.1.0-r0.apk | 481870 | 2025-Oct-03 07:59 |
| libre-4.1.0-r0.apk | 294169 | 2025-Oct-03 07:59 |
| baresip-dev-4.1.0-r0.apk | 16393 | 2025-Oct-03 07:59 |
| baresip-4.1.0-r0.apk | 1082474 | 2025-Oct-03 07:59 |
| cargo-udeps-doc-0.1.59-r0.apk | 7685 | 2025-Oct-03 01:20 |
| cargo-udeps-0.1.59-r0.apk | 4888418 | 2025-Oct-03 01:20 |
| wlroots0.18-static-0.18.2-r3.apk | 8542696 | 2025-Oct-02 18:35 |
| wlroots0.18-dev-0.18.2-r3.apk | 84620 | 2025-Oct-02 18:35 |
| wlroots0.18-dbg-0.18.2-r3.apk | 1584125 | 2025-Oct-02 18:35 |
| wlroots0.18-0.18.2-r3.apk | 386716 | 2025-Oct-02 18:35 |
| qt-creator-dbg-17.0.2-r0.apk | 475186071 | 2025-Oct-02 18:07 |
| qt-creator-17.0.2-r0.apk | 48829544 | 2025-Oct-02 18:07 |
| pop-launcher-1.2.7-r0.apk | 2630427 | 2025-Oct-02 18:06 |
| sfizz-1.2.3-r1.apk | 1821138 | 2025-Oct-02 15:30 |
| sfizz-doc-1.2.3-r1.apk | 3087 | 2025-Oct-02 15:30 |
| sfizz-dev-1.2.3-r1.apk | 15098 | 2025-Oct-02 15:30 |
| compiz-lang-0.9.14.2-r12.apk | 1265533 | 2025-Oct-02 15:30 |
| compiz-dev-0.9.14.2-r12.apk | 120001 | 2025-Oct-02 15:30 |
| compiz-utils-0.9.14.2-r12.apk | 3423 | 2025-Oct-02 15:30 |
| compiz-0.9.14.2-r12.apk | 6248122 | 2025-Oct-02 15:30 |
| compiz-pyc-0.9.14.2-r12.apk | 114199 | 2025-Oct-02 15:30 |
| biometryd-dev-0.3.2-r1.apk | 13290 | 2025-Oct-02 15:30 |
| biometryd-0.3.2-r1.apk | 319300 | 2025-Oct-02 15:30 |
| lomiri-schemas-0.1.9-r0.apk | 10660 | 2025-Oct-01 17:03 |
| nlopt-2.10.0-r2.apk | 189552 | 2025-Oct-01 06:00 |
| nlopt-octave-2.10.0-r2.apk | 27662 | 2025-Oct-01 06:00 |
| nlopt-guile-2.10.0-r2.apk | 42365 | 2025-Oct-01 06:00 |
| nlopt-doc-2.10.0-r2.apk | 23380 | 2025-Oct-01 06:00 |
| nlopt-dev-2.10.0-r2.apk | 12279 | 2025-Oct-01 06:00 |
| perl-math-random-0.75-r0.apk | 37088 | 2025-Oct-01 04:49 |
| perl-math-random-doc-0.75-r0.apk | 11583 | 2025-Oct-01 04:49 |
| php81-pecl-protobuf-4.32.1-r0.apk | 146692 | 2025-Oct-01 01:59 |
| bacon-3.18.0-r0.apk | 1876389 | 2025-Sep-30 17:37 |
| psi-plus-plugins-1.5.2096-r0.apk | 1928451 | 2025-Sep-30 12:51 |
| psi-plus-1.5.2096-r0.apk | 9426430 | 2025-Sep-30 12:51 |
| php81-pecl-mailparse-3.1.9-r0.apk | 23013 | 2025-Sep-30 12:38 |
| way-displays-doc-1.15.0-r0.apk | 4660 | 2025-Sep-30 09:47 |
| way-displays-1.15.0-r0.apk | 112108 | 2025-Sep-30 09:47 |
| apostrophe-pyc-3.4-r0.apk | 157043 | 2025-Sep-30 09:36 |
| apostrophe-lang-3.4-r0.apk | 232270 | 2025-Sep-30 09:36 |
| apostrophe-3.4-r0.apk | 157341 | 2025-Sep-30 09:36 |
| mml-bash-completion-1.0.0-r1.apk | 2310 | 2025-Sep-30 00:40 |
| mml-zsh-completion-1.0.0-r1.apk | 2849 | 2025-Sep-30 00:40 |
| mml-fish-completion-1.0.0-r1.apk | 2282 | 2025-Sep-30 00:40 |
| mml-doc-1.0.0-r1.apk | 3884 | 2025-Sep-30 00:40 |
| mml-1.0.0-r1.apk | 896273 | 2025-Sep-30 00:40 |
| py3-latex2mathml-3.78.1-r1.apk | 73775 | 2025-Sep-29 19:06 |
| py3-latex2mathml-pyc-3.78.1-r1.apk | 35646 | 2025-Sep-29 19:06 |
| php82-pecl-zephir_parser-1.8.0-r0.apk | 64402 | 2025-Sep-29 12:34 |
| php81-pecl-zephir_parser-1.8.0-r0.apk | 64372 | 2025-Sep-29 12:34 |
| r2ghidra-6.0.2-r0.apk | 10613338 | 2025-Sep-28 22:56 |
| oils-for-unix-binsh-0.35.0-r0.apk | 1559 | 2025-Sep-28 22:29 |
| oils-for-unix-doc-0.35.0-r0.apk | 7193 | 2025-Sep-28 22:29 |
| oils-for-unix-bash-0.35.0-r0.apk | 1720 | 2025-Sep-28 22:29 |
| oils-for-unix-0.35.0-r0.apk | 663639 | 2025-Sep-28 22:29 |
| ntpd-rs-openrc-1.6.2-r1.apk | 1960 | 2025-Sep-28 19:44 |
| ntpd-rs-doc-1.6.2-r1.apk | 24785 | 2025-Sep-28 19:44 |
| ntpd-rs-1.6.2-r1.apk | 4233322 | 2025-Sep-28 19:44 |
| pdf2svg-0.2.4-r0.apk | 4610 | 2025-Sep-28 18:49 |
| ruby-build-runtime-20250925-r0.apk | 1338 | 2025-Sep-28 15:45 |
| ruby-build-doc-20250925-r0.apk | 4907 | 2025-Sep-28 15:45 |
| ruby-build-20250925-r0.apk | 95269 | 2025-Sep-28 15:45 |
| hardinfo2-openrc-2.2.13-r0.apk | 1998 | 2025-Sep-28 12:01 |
| hardinfo2-lang-2.2.13-r0.apk | 298741 | 2025-Sep-28 12:01 |
| hardinfo2-doc-2.2.13-r0.apk | 3077 | 2025-Sep-28 12:01 |
| hardinfo2-2.2.13-r0.apk | 3443729 | 2025-Sep-28 12:01 |
| font-andika-six-6.210-r0.apk | 1546031 | 2025-Sep-28 12:01 |
| darkradiant-lang-3.9.0-r0.apk | 38110 | 2025-Sep-28 12:00 |
| darkradiant-doc-3.9.0-r0.apk | 2339913 | 2025-Sep-28 12:00 |
| darkradiant-3.9.0-r0.apk | 9564333 | 2025-Sep-28 12:00 |
| fileshelter-openrc-6.2.0-r4.apk | 1739 | 2025-Sep-27 22:22 |
| fileshelter-6.2.0-r4.apk | 312312 | 2025-Sep-27 22:22 |
| dodo-0_git20250926-r0.apk | 192399 | 2025-Sep-27 21:58 |
| dodo-pyc-0_git20250926-r0.apk | 90799 | 2025-Sep-27 21:58 |
| siril-lang-1.2.6-r5.apk | 1629680 | 2025-Sep-27 21:15 |
| siril-1.2.6-r5.apk | 2995366 | 2025-Sep-27 21:15 |
| musikcube-plugin-taglibreader-3.0.5-r0.apk | 36143 | 2025-Sep-27 21:15 |
| musikcube-plugin-supereqdsp-3.0.5-r0.apk | 27497 | 2025-Sep-27 21:15 |
| musikcube-plugin-stockencoders-3.0.5-r0.apk | 20101 | 2025-Sep-27 21:15 |
| musikcube-plugin-server-3.0.5-r0.apk | 385620 | 2025-Sep-27 21:15 |
| siril-doc-1.2.6-r5.apk | 18197 | 2025-Sep-27 21:15 |
| musikcube-plugin-openmpt-3.0.5-r0.apk | 31823 | 2025-Sep-27 21:15 |
| musikcube-plugin-mpris-3.0.5-r0.apk | 21311 | 2025-Sep-27 21:15 |
| musikcube-plugin-httpdatastream-3.0.5-r0.apk | 80462 | 2025-Sep-27 21:15 |
| musikcube-plugin-all-3.0.5-r0.apk | 1374 | 2025-Sep-27 21:15 |
| musikcube-dev-3.0.5-r0.apk | 19555 | 2025-Sep-27 21:15 |
| musikcube-3.0.5-r0.apk | 2363356 | 2025-Sep-27 21:15 |
| motion-openrc-4.7.1-r0.apk | 2272 | 2025-Sep-27 21:15 |
| motion-lang-4.7.1-r0.apk | 482088 | 2025-Sep-27 21:15 |
| motion-doc-4.7.1-r0.apk | 142995 | 2025-Sep-27 21:15 |
| motion-4.7.1-r0.apk | 145894 | 2025-Sep-27 21:15 |
| libetebase-dev-0.5.8-r0.apk | 11148 | 2025-Sep-27 19:40 |
| libetebase-0.5.8-r0.apk | 850018 | 2025-Sep-27 19:40 |
| evolution-etesync-lang-1.1.1-r0.apk | 19736 | 2025-Sep-27 19:40 |
| evolution-etesync-1.1.1-r0.apk | 53982 | 2025-Sep-27 19:40 |
| xpar-doc-0.7-r0.apk | 4434 | 2025-Sep-27 14:33 |
| xpar-0.7-r0.apk | 30807 | 2025-Sep-27 14:33 |
| vice-doc-3.9-r2.apk | 2304052 | 2025-Sep-27 14:27 |
| vice-3.9-r2.apk | 13702408 | 2025-Sep-27 14:27 |
| vcmi-1.6.8-r2.apk | 13374094 | 2025-Sep-27 14:27 |
| dsp-2.0-r2.apk | 161765 | 2025-Sep-27 14:27 |
| ffms2-doc-5.0-r2.apk | 30953 | 2025-Sep-27 14:27 |
| ffms2-dev-5.0-r2.apk | 7710 | 2025-Sep-27 14:27 |
| ffms2-5.0-r2.apk | 71297 | 2025-Sep-27 14:27 |
| fceux-doc-2.6.6-r4.apk | 107183 | 2025-Sep-27 14:27 |
| fceux-2.6.6-r4.apk | 3005826 | 2025-Sep-27 14:27 |
| dsp-doc-2.0-r2.apk | 10375 | 2025-Sep-27 14:27 |
| droidcam-gui-2.1.3-r3.apk | 27500 | 2025-Sep-27 14:27 |
| droidcam-2.1.3-r3.apk | 18178 | 2025-Sep-27 14:27 |
| py3-confusable-homoglyphs-3.3.1-r0.apk | 140431 | 2025-Sep-26 12:58 |
| mdbook-alerts-0.8.0-r0.apk | 747066 | 2025-Sep-26 12:58 |
| py3-confusable-homoglyphs-pyc-3.3.1-r0.apk | 9589 | 2025-Sep-26 12:58 |
| perl-glib-object-introspection-doc-0.052-r0.apk | 11430 | 2025-Sep-25 11:23 |
| perl-glib-object-introspection-0.052-r0.apk | 60512 | 2025-Sep-25 11:23 |
| hyprlock-0.9.1-r0.apk | 471541 | 2025-Sep-25 10:20 |
| cemu-lang-2.6-r0.apk | 442213 | 2025-Sep-25 05:44 |
| sc-controller-pyc-0.5.3-r0.apk | 833962 | 2025-Sep-25 05:44 |
| sc-controller-0.5.3-r0.apk | 1326064 | 2025-Sep-25 05:44 |
| cemu-2.6-r0.apk | 20929807 | 2025-Sep-25 05:44 |
| php81-pecl-imagick-3.8.0-r1.apk | 104548 | 2025-Sep-24 23:46 |
| php81-pecl-imagick-dev-3.8.0-r1.apk | 2349 | 2025-Sep-24 23:46 |
| lomiri-download-manager-dev-0.2.2-r0.apk | 17328 | 2025-Sep-24 16:14 |
| lomiri-download-manager-0.2.2-r0.apk | 602400 | 2025-Sep-24 16:14 |
| lomiri-download-manager-doc-0.2.2-r0.apk | 3568187 | 2025-Sep-24 16:14 |
| lomiri-download-manager-lang-0.2.2-r0.apk | 30338 | 2025-Sep-24 16:14 |
| php85-pecl-vld-0.19.1-r1.apk | 15608 | 2025-Sep-24 13:21 |
| crispy-doom-7.1-r0.apk | 1840600 | 2025-Sep-24 02:49 |
| crispy-doom-doc-7.1-r0.apk | 109960 | 2025-Sep-24 02:49 |
| reaction-2.2.1-r0.apk | 1482837 | 2025-Sep-23 22:32 |
| reaction-openrc-2.2.1-r0.apk | 1875 | 2025-Sep-23 22:32 |
| reaction-tools-2.2.1-r0.apk | 5081 | 2025-Sep-23 22:32 |
| py3-rns-pyc-1.0.0-r0.apk | 721450 | 2025-Sep-23 22:32 |
| py3-rns-1.0.0-r0.apk | 352463 | 2025-Sep-23 22:32 |
| py3-lxmf-pyc-0.8.0-r0.apk | 112013 | 2025-Sep-23 22:32 |
| py3-lxmf-0.8.0-r0.apk | 52010 | 2025-Sep-23 22:32 |
| nomadnet-pyc-0.8.0-r0.apk | 291471 | 2025-Sep-23 22:32 |
| nomadnet-0.8.0-r0.apk | 146725 | 2025-Sep-23 22:32 |
| agate-openrc-3.3.19-r0.apk | 2008 | 2025-Sep-23 22:32 |
| agate-3.3.19-r0.apk | 929148 | 2025-Sep-23 22:32 |
| hyprpicker-0.4.5-r0.apk | 126450 | 2025-Sep-23 11:43 |
| hyprpicker-doc-0.4.5-r0.apk | 3909 | 2025-Sep-23 11:43 |
| hypridle-openrc-0.1.7-r0.apk | 1742 | 2025-Sep-23 11:41 |
| hypridle-doc-0.1.7-r0.apk | 2449 | 2025-Sep-23 11:41 |
| hypridle-0.1.7-r0.apk | 141870 | 2025-Sep-23 11:41 |
| hare-scfg-0.25.2-r0.apk | 4789 | 2025-Sep-22 15:28 |
| libopensles-standalone-0_git20250913-r0.apk | 37422 | 2025-Sep-22 13:31 |
| libopensles-standalone-dev-0_git20250913-r0.apk | 1621 | 2025-Sep-22 13:31 |
| libopensles-standalone-dbg-0_git20250913-r0.apk | 250059 | 2025-Sep-22 13:31 |
| mapserver-dev-8.4.1-r0.apk | 552857 | 2025-Sep-22 13:15 |
| mapserver-8.4.1-r0.apk | 1388899 | 2025-Sep-22 13:15 |
| eclipse-ecj-4.37-r0.apk | 2664713 | 2025-Sep-22 13:04 |
| stam-0.12.2-r0.apk | 1701879 | 2025-Sep-22 12:09 |
| river-bedload-zsh-completion-0.1.1_git20250823-r..> | 1917 | 2025-Sep-22 01:17 |
| wolfssh-dev-1.4.17-r1.apk | 174785 | 2025-Sep-22 01:17 |
| wolfssh-1.4.17-r1.apk | 135825 | 2025-Sep-22 01:17 |
| river-bedload-doc-0.1.1_git20250823-r0.apk | 2360 | 2025-Sep-22 01:17 |
| river-bedload-0.1.1_git20250823-r0.apk | 140291 | 2025-Sep-22 01:17 |
| crow-translate-lang-4.0.2-r0.apk | 567190 | 2025-Sep-22 01:17 |
| crow-translate-4.0.2-r0.apk | 11249523 | 2025-Sep-22 01:17 |
| fungw-tcl-1.2.2-r0.apk | 13667 | 2025-Sep-19 18:26 |
| fungw-python3-1.2.2-r0.apk | 27391 | 2025-Sep-19 18:26 |
| fungw-perl-1.2.2-r0.apk | 49964 | 2025-Sep-19 18:26 |
| fungw-mujs-1.2.2-r0.apk | 18146 | 2025-Sep-19 18:26 |
| fungw-lua-1.2.2-r0.apk | 14986 | 2025-Sep-19 18:26 |
| fungw-fawk-1.2.2-r0.apk | 120179 | 2025-Sep-19 18:26 |
| fungw-duktape-1.2.2-r0.apk | 18488 | 2025-Sep-19 18:26 |
| fungw-doc-1.2.2-r0.apk | 13501 | 2025-Sep-19 18:26 |
| fungw-dev-1.2.2-r0.apk | 8005 | 2025-Sep-19 18:26 |
| fungw-cli-1.2.2-r0.apk | 24389 | 2025-Sep-19 18:26 |
| fungw-c-1.2.2-r0.apk | 8619 | 2025-Sep-19 18:26 |
| fungw-1.2.2-r0.apk | 14072 | 2025-Sep-19 18:26 |
| tangara-companion-0.5.0-r0.apk | 932383 | 2025-Sep-19 08:14 |
| mingw-w64-libusb-1.0.29-r0.apk | 220704 | 2025-Sep-19 04:51 |
| evolution-on-3.24.4-r1.apk | 10150 | 2025-Sep-18 17:36 |
| perl-astro-satpass-0.134-r0.apk | 223345 | 2025-Sep-18 17:18 |
| perl-astro-satpass-doc-0.134-r0.apk | 136067 | 2025-Sep-18 17:18 |
| amber-0.4.0_alpha-r0.apk | 534981 | 2025-Sep-18 02:17 |
| hiprompt-gtk-py-0.8.0-r1.apk | 8235 | 2025-Sep-17 10:03 |
| qtile-pyc-0.33.0-r0.apk | 878529 | 2025-Sep-17 07:56 |
| qtile-0.33.0-r0.apk | 480201 | 2025-Sep-17 07:56 |
| mat2-pyc-0.13.5-r0.apk | 55788 | 2025-Sep-16 19:00 |
| mat2-doc-0.13.5-r0.apk | 7877 | 2025-Sep-16 19:00 |
| mat2-0.13.5-r0.apk | 36253 | 2025-Sep-16 19:00 |
| quodlibet-doc-4.7.1-r0.apk | 8868 | 2025-Sep-16 18:53 |
| quodlibet-bash-completion-4.7.1-r0.apk | 4605 | 2025-Sep-16 18:53 |
| quodlibet-4.7.1-r0.apk | 1091033 | 2025-Sep-16 18:53 |
| quodlibet-zsh-completion-4.7.1-r0.apk | 2776 | 2025-Sep-16 18:53 |
| quodlibet-pyc-4.7.1-r0.apk | 1902381 | 2025-Sep-16 18:53 |
| quodlibet-lang-4.7.1-r0.apk | 1487944 | 2025-Sep-16 18:53 |
| snapper-lang-0.13.0-r0.apk | 224203 | 2025-Sep-16 18:45 |
| snapper-0.13.0-r0.apk | 973807 | 2025-Sep-16 18:45 |
| snapper-dev-0.13.0-r0.apk | 10989 | 2025-Sep-16 18:45 |
| snapper-zsh-completion-0.13.0-r0.apk | 3650 | 2025-Sep-16 18:45 |
| snapper-doc-0.13.0-r0.apk | 25864 | 2025-Sep-16 18:45 |
| snapper-bash-completion-0.13.0-r0.apk | 3451 | 2025-Sep-16 18:45 |
| lutris-0.5.19-r1.apk | 838863 | 2025-Sep-16 18:09 |
| lutris-pyc-0.5.19-r1.apk | 1187322 | 2025-Sep-16 18:09 |
| lutris-lang-0.5.19-r1.apk | 829936 | 2025-Sep-16 18:09 |
| lutris-doc-0.5.19-r1.apk | 2399 | 2025-Sep-16 18:09 |
| py3-meshtastic-2.7.2-r0.apk | 552784 | 2025-Sep-16 14:05 |
| unit-php81-1.35.0-r1.apk | 32864 | 2025-Sep-15 15:03 |
| visidata-pyc-3.3-r0.apk | 866104 | 2025-Sep-15 13:56 |
| visidata-3.3-r0.apk | 434839 | 2025-Sep-15 13:56 |
| visidata-doc-3.3-r0.apk | 18829 | 2025-Sep-15 13:56 |
| visidata-zsh-completion-3.3-r0.apk | 9659 | 2025-Sep-15 13:56 |
| font-hanazono-20170904-r2.apk | 30352513 | 2025-Sep-15 12:59 |
| gtranslator-lang-49.0-r0.apk | 607244 | 2025-Sep-15 07:46 |
| gtranslator-doc-49.0-r0.apk | 652817 | 2025-Sep-15 07:46 |
| gtranslator-49.0-r0.apk | 142664 | 2025-Sep-15 07:46 |
| ufw-docker-doc-250710-r0.apk | 13902 | 2025-Sep-14 07:34 |
| ufw-docker-250710-r0.apk | 7604 | 2025-Sep-14 07:34 |
| py3-wikipedia-pyc-1.4.0-r0.apk | 16595 | 2025-Sep-14 07:34 |
| py3-wikipedia-doc-1.4.0-r0.apk | 4244 | 2025-Sep-14 07:34 |
| py3-wikipedia-1.4.0-r0.apk | 12508 | 2025-Sep-14 07:34 |
| reap-doc-0.2-r0.apk | 2730 | 2025-Sep-13 16:23 |
| reap-0.2-r0.apk | 5157 | 2025-Sep-13 16:23 |
| ptouch-print-doc-1.7-r0.apk | 3205 | 2025-Sep-13 16:23 |
| ptouch-print-1.7-r0.apk | 28179 | 2025-Sep-13 16:23 |
| tcpbench-doc-3.00-r1.apk | 5383 | 2025-Sep-12 22:22 |
| waynergy-0.0.17-r1.apk | 46655 | 2025-Sep-12 22:22 |
| tcpbench-3.00-r1.apk | 12411 | 2025-Sep-12 22:22 |
| sacc-doc-1.07-r1.apk | 2945 | 2025-Sep-12 22:22 |
| sacc-1.07-r1.apk | 16160 | 2025-Sep-12 22:22 |
| pounce-openrc-3.1-r4.apk | 2813 | 2025-Sep-12 22:22 |
| pounce-doc-3.1-r4.apk | 8747 | 2025-Sep-12 22:22 |
| pounce-3.1-r4.apk | 28504 | 2025-Sep-12 22:22 |
| litterbox-doc-1.9-r2.apk | 7381 | 2025-Sep-12 22:22 |
| litterbox-1.9-r2.apk | 32316 | 2025-Sep-12 22:22 |
| cava-0.10.6-r0.apk | 47740 | 2025-Sep-12 08:10 |
| perl-snmp-info-doc-3.974000-r0.apk | 398935 | 2025-Sep-12 04:49 |
| perl-snmp-info-3.974000-r0.apk | 345037 | 2025-Sep-12 04:49 |
| nm-tray-0.5.1-r0.apk | 103770 | 2025-Sep-11 17:35 |
| nm-tray-lang-0.5.1-r0.apk | 27904 | 2025-Sep-11 17:35 |
| git-quick-stats-doc-2.8.0-r0.apk | 3555 | 2025-Sep-11 08:18 |
| git-quick-stats-2.8.0-r0.apk | 15609 | 2025-Sep-11 08:18 |
| ostui-doc-1.0.4-r0.apk | 28608 | 2025-Sep-11 02:50 |
| ostui-1.0.4-r0.apk | 5255310 | 2025-Sep-11 02:50 |
| jadx-doc-1.5.3-r0.apk | 5649 | 2025-Sep-10 12:54 |
| jadx-1.5.3-r0.apk | 116666646 | 2025-Sep-10 12:54 |
| perl-mce-doc-1.902-r0.apk | 175341 | 2025-Sep-10 04:00 |
| perl-mce-1.902-r0.apk | 137687 | 2025-Sep-10 04:00 |
| smile-lang-2.10.2-r0.apk | 28905 | 2025-Sep-09 21:19 |
| smile-2.10.2-r0.apk | 884023 | 2025-Sep-09 21:19 |
| php81-pecl-zstd-0.15.2-r0.apk | 16693 | 2025-Sep-09 20:06 |
| xml2rfc-pyc-3.28.1-r2.apk | 417339 | 2025-Sep-09 08:47 |
| xml2rfc-3.28.1-r2.apk | 360293 | 2025-Sep-09 08:47 |
| nauty-libs-2.9.1-r0.apk | 2748267 | 2025-Sep-08 16:40 |
| nauty-dev-2.9.1-r0.apk | 3699892 | 2025-Sep-08 16:40 |
| nauty-2.9.1-r0.apk | 5943966 | 2025-Sep-08 16:40 |
| oniux-doc-0.6.1-r0.apk | 3333 | 2025-Sep-08 15:37 |
| oniux-0.6.1-r0.apk | 6284725 | 2025-Sep-08 15:37 |
| persistent-cache-cpp-dev-1.0.9-r0.apk | 18270 | 2025-Sep-08 01:00 |
| persistent-cache-cpp-1.0.9-r0.apk | 44754 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-lang-1.1.2-r0.apk | 204235 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-doc-1.1.2-r0.apk | 2109 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-1.1.2-r0.apk | 613969 | 2025-Sep-08 01:00 |
| lomiri-indicator-network-dev-1.1.2-r0.apk | 9763 | 2025-Sep-08 01:00 |
| persistent-cache-cpp-doc-1.0.9-r0.apk | 3271 | 2025-Sep-08 01:00 |
| tuptime-openrc-5.2.4-r2.apk | 1792 | 2025-Sep-06 16:20 |
| tuptime-doc-5.2.4-r2.apk | 3890 | 2025-Sep-06 16:20 |
| tuptime-5.2.4-r2.apk | 14301 | 2025-Sep-06 16:20 |
| timeshift-lang-25.07.7-r0.apk | 949294 | 2025-Sep-06 16:20 |
| timeshift-doc-25.07.7-r0.apk | 3243 | 2025-Sep-06 16:20 |
| timeshift-25.07.7-r0.apk | 486044 | 2025-Sep-06 16:20 |
| sturmreader-lang-3.7.2-r2.apk | 39910 | 2025-Sep-06 16:20 |
| sturmreader-3.7.2-r2.apk | 1037813 | 2025-Sep-06 16:20 |
| rust-script-0.36.0-r0.apk | 916231 | 2025-Sep-06 16:20 |
| py3-socketio-pyc-5.13.0-r0.apk | 129771 | 2025-Sep-06 16:20 |
| py3-socketio-doc-5.13.0-r0.apk | 36876 | 2025-Sep-06 16:20 |
| py3-socketio-5.13.0-r0.apk | 63711 | 2025-Sep-06 16:20 |
| py3-simple-websocket-pyc-1.1.0-r0.apk | 23301 | 2025-Sep-06 16:20 |
| py3-simple-websocket-doc-1.1.0-r0.apk | 2345 | 2025-Sep-06 16:20 |
| py3-simple-websocket-1.1.0-r0.apk | 11132 | 2025-Sep-06 16:20 |
| py3-flask-socketio-pyc-5.5.1-r0.apk | 26568 | 2025-Sep-06 16:20 |
| py3-flask-socketio-doc-5.5.1-r0.apk | 23571 | 2025-Sep-06 16:20 |
| py3-flask-socketio-5.5.1-r0.apk | 18785 | 2025-Sep-06 16:20 |
| py3-engineio-pyc-4.12.2-r0.apk | 106424 | 2025-Sep-06 16:20 |
| py3-engineio-doc-4.12.2-r0.apk | 34212 | 2025-Sep-06 16:20 |
| py3-engineio-4.12.2-r0.apk | 49848 | 2025-Sep-06 16:20 |
| perl-module-extract-version-doc-1.119-r0.apk | 3541 | 2025-Sep-06 16:20 |
| perl-module-extract-version-1.119-r0.apk | 3328 | 2025-Sep-06 16:20 |
| perl-cpan-audit-doc-20250829.001-r0.apk | 12655 | 2025-Sep-06 16:20 |
| perl-cpan-audit-20250829.001-r0.apk | 13838 | 2025-Sep-06 16:20 |
| lshell-doc-0.9.18-r12.apk | 25710 | 2025-Sep-06 16:20 |
| lshell-pyc-0.9.18-r12.apk | 35895 | 2025-Sep-06 16:20 |
| lshell-0.9.18-r12.apk | 37088 | 2025-Sep-06 16:20 |
| himitsu-totp-doc-0.9-r0.apk | 2425 | 2025-Sep-06 16:20 |
| himitsu-totp-0.9-r0.apk | 128038 | 2025-Sep-06 16:20 |
| gradia-dev-1.9.0-r0.apk | 2591 | 2025-Sep-06 16:20 |
| gradia-lang-1.9.0-r0.apk | 56870 | 2025-Sep-06 16:20 |
| gradia-1.9.0-r0.apk | 543309 | 2025-Sep-06 16:20 |
| go-away-openrc-0.7.0-r1.apk | 2319 | 2025-Sep-06 16:20 |
| go-away-0.7.0-r1.apk | 9144077 | 2025-Sep-06 16:20 |
| ghidra-tutorials-11.4.2-r0.apk | 4170208 | 2025-Sep-06 16:20 |
| ghidra-doc-11.4.2-r0.apk | 50414921 | 2025-Sep-06 16:20 |
| ghidra-11.4.2-r0.apk | 387136138 | 2025-Sep-06 16:20 |
| gearmand-openrc-1.1.22-r0.apk | 1852 | 2025-Sep-06 16:20 |
| gearmand-doc-1.1.22-r0.apk | 193553 | 2025-Sep-06 16:20 |
| gearmand-1.1.22-r0.apk | 179135 | 2025-Sep-06 16:20 |
| gearman-libs-1.1.22-r0.apk | 81267 | 2025-Sep-06 16:20 |
| gearman-dev-1.1.22-r0.apk | 1170608 | 2025-Sep-06 16:20 |
| femto-doc-2.24.1-r0.apk | 50183 | 2025-Sep-06 16:20 |
| femto-2.24.1-r0.apk | 67900 | 2025-Sep-06 16:20 |
| exabgp-4.2.24-r1.apk | 394058 | 2025-Sep-06 16:20 |
| exabgp-openrc-4.2.24-r1.apk | 2305 | 2025-Sep-06 16:20 |
| exabgp-doc-4.2.24-r1.apk | 8292 | 2025-Sep-06 16:20 |
| exabgp-pyc-4.2.24-r1.apk | 796938 | 2025-Sep-06 16:20 |
| epic6-script-0_git20250821-r0.apk | 152553 | 2025-Sep-06 16:20 |
| epic6-0_git20250821-r0.apk | 374245 | 2025-Sep-06 16:20 |
| epic6-doc-0_git20250821-r0.apk | 17609 | 2025-Sep-06 16:20 |
| ckb-next-dev-0.6.2-r1.apk | 5050 | 2025-Sep-06 16:20 |
| ckb-next-daemon-openrc-0.6.2-r1.apk | 1883 | 2025-Sep-06 16:20 |
| ckb-next-daemon-0.6.2-r1.apk | 70701 | 2025-Sep-06 16:20 |
| ckb-next-0.6.2-r1.apk | 1405341 | 2025-Sep-06 16:20 |
| apulse-0.1.14-r0.apk | 40987 | 2025-Sep-06 16:20 |
| apulse-doc-0.1.14-r0.apk | 3015 | 2025-Sep-06 16:20 |
| alertmanager-irc-relay-0.5.1-r1.apk | 5207849 | 2025-Sep-06 16:20 |
| alertmanager-irc-relay-openrc-0.5.1-r1.apk | 2034 | 2025-Sep-06 16:20 |
| aide-0.19.2-r0.apk | 89477 | 2025-Sep-06 16:20 |
| aide-doc-0.19.2-r0.apk | 15917 | 2025-Sep-06 16:20 |
| malcontent-doc-0.13.1-r0.apk | 45805 | 2025-Sep-03 21:08 |
| malcontent-dev-0.13.1-r0.apk | 24542 | 2025-Sep-03 21:08 |
| malcontent-0.13.1-r0.apk | 160311 | 2025-Sep-03 21:08 |
| librespot-openrc-0.7.1-r0.apk | 1912 | 2025-Sep-03 21:08 |
| librespot-0.7.1-r0.apk | 2266485 | 2025-Sep-03 21:08 |
| libqtdbustest-0.4.0-r0.apk | 30674 | 2025-Sep-03 21:08 |
| amdgpu_top-0.11.0-r0.apk | 7085072 | 2025-Sep-03 00:47 |
| amdgpu_top-doc-0.11.0-r0.apk | 4077 | 2025-Sep-03 00:47 |
| elementary-calculator-lang-8.0.1-r0.apk | 60922 | 2025-Sep-02 02:29 |
| elementary-calculator-8.0.1-r0.apk | 73391 | 2025-Sep-02 02:29 |
| elementary-videos-lang-8.0.2-r0.apk | 85417 | 2025-Sep-02 02:28 |
| elementary-videos-8.0.2-r0.apk | 116448 | 2025-Sep-02 02:28 |
| elementary-camera-lang-8.0.2-r0.apk | 36012 | 2025-Sep-02 02:25 |
| elementary-camera-8.0.2-r0.apk | 87014 | 2025-Sep-02 02:25 |
| authenticator-rs-0.8.6-r0.apk | 2258891 | 2025-Sep-01 18:29 |
| authenticator-rs-lang-0.8.6-r0.apk | 4162 | 2025-Sep-01 18:29 |
| py3-dnslib-pyc-0.9.26-r0.apk | 111688 | 2025-Sep-01 18:15 |
| py3-dnslib-0.9.26-r0.apk | 57746 | 2025-Sep-01 18:15 |
| py3-queuelib-pyc-1.8.0-r0.apk | 25071 | 2025-Sep-01 18:13 |
| py3-queuelib-1.8.0-r0.apk | 12706 | 2025-Sep-01 18:13 |
| perl-io-interactive-doc-1.027-r0.apk | 5322 | 2025-Sep-01 13:16 |
| perl-io-interactive-1.027-r0.apk | 5444 | 2025-Sep-01 13:16 |
| perl-cpansa-db-doc-20250807.001-r0.apk | 5706 | 2025-Sep-01 13:16 |
| perl-cpansa-db-20250807.001-r0.apk | 1350734 | 2025-Sep-01 13:16 |
| toml2json-doc-1.3.2-r0.apk | 3786 | 2025-Sep-01 13:13 |
| toml2json-1.3.2-r0.apk | 356048 | 2025-Sep-01 13:13 |
| rtl8821ce-src-6.16_git20250820-r0.apk | 4460749 | 2025-Sep-01 07:03 |
| py3-virtualenvwrapper-pyc-6.1.1-r0.apk | 12049 | 2025-Sep-01 00:26 |
| py3-virtualenvwrapper-6.1.1-r0.apk | 22154 | 2025-Sep-01 00:26 |
| ty-fish-completion-0.0.1_alpha19-r0.apk | 3152 | 2025-Sep-01 00:24 |
| ty-bash-completion-0.0.1_alpha19-r0.apk | 2608 | 2025-Sep-01 00:24 |
| ty-0.0.1_alpha19-r0.apk | 5894257 | 2025-Sep-01 00:24 |
| ty-zsh-completion-0.0.1_alpha19-r0.apk | 3474 | 2025-Sep-01 00:24 |
| ty-pyc-0.0.1_alpha19-r0.apk | 3973 | 2025-Sep-01 00:24 |
| libsirocco-dev-2.1.1-r0.apk | 2256 | 2025-Aug-31 17:36 |
| libsirocco-2.1.1-r0.apk | 63077 | 2025-Aug-31 17:36 |
| xwayland-satellite-0.7-r0.apk | 992536 | 2025-Aug-30 22:13 |
| xfsdump-doc-3.2.0-r0.apk | 43467 | 2025-Aug-30 20:48 |
| xfsdump-3.2.0-r0.apk | 388672 | 2025-Aug-30 20:48 |
| perl-cgi-simple-doc-1.282-r0.apk | 43757 | 2025-Aug-30 04:17 |
| perl-cgi-simple-1.282-r0.apk | 56960 | 2025-Aug-30 04:17 |
| py3-lsp-mypy-pyc-0.7.0-r1.apk | 13065 | 2025-Aug-30 01:39 |
| py3-lsp-mypy-0.7.0-r1.apk | 13125 | 2025-Aug-30 01:39 |
| neocmakelsp-0.8.25-r0.apk | 1720499 | 2025-Aug-29 18:52 |
| neocmakelsp-fish-completion-0.8.25-r0.apk | 1664 | 2025-Aug-29 18:52 |
| neocmakelsp-zsh-completion-0.8.25-r0.apk | 1869 | 2025-Aug-29 18:52 |
| neocmakelsp-doc-0.8.25-r0.apk | 5978 | 2025-Aug-29 18:52 |
| neocmakelsp-bash-completion-0.8.25-r0.apk | 2034 | 2025-Aug-29 18:52 |
| py3-marisa-trie-1.3.1-r0.apk | 135815 | 2025-Aug-29 09:31 |
| please-0.5.6-r0.apk | 1063290 | 2025-Aug-29 08:32 |
| please-doc-0.5.6-r0.apk | 16438 | 2025-Aug-29 08:32 |
| raku-inline-perl5-0.60_git20250327-r1.apk | 2194319 | 2025-Aug-29 08:22 |
| raku-system-query-doc-0.1.6-r1.apk | 2574 | 2025-Aug-29 08:22 |
| raku-system-query-0.1.6-r1.apk | 17130 | 2025-Aug-29 08:22 |
| raku-inline-perl5-doc-0.60_git20250327-r1.apk | 6443 | 2025-Aug-29 08:22 |
| raku-distribution-builder-makefromjson-doc-0.6-r..> | 2406 | 2025-Aug-29 08:22 |
| raku-distribution-builder-makefromjson-0.6-r1.apk | 42359 | 2025-Aug-29 08:22 |
| php81-pecl-apcu-5.1.27-r0.apk | 55143 | 2025-Aug-28 21:50 |
| nymphcast-mediaserver-0.1-r4.apk | 71661 | 2025-Aug-28 21:07 |
| nymphcast-mediaserver-nftables-0.1-r4.apk | 1736 | 2025-Aug-28 21:07 |
| py3-enlighten-pyc-1.14.1-r0.apk | 47518 | 2025-Aug-28 20:04 |
| py3-enlighten-1.14.1-r0.apk | 37791 | 2025-Aug-28 20:04 |
| py3-prefixed-pyc-0.9.0-r0.apk | 8815 | 2025-Aug-28 20:04 |
| py3-prefixed-0.9.0-r0.apk | 14698 | 2025-Aug-28 20:04 |
| nwg-displays-pyc-0.3.26-r0.apk | 36917 | 2025-Aug-28 20:04 |
| nwg-displays-0.3.26-r0.apk | 27303 | 2025-Aug-28 20:04 |
| glslviewer-3.2.4-r2.apk | 1858334 | 2025-Aug-28 20:04 |
| certbot-dns-pdns-0.1.1-r1.apk | 8815 | 2025-Aug-28 01:20 |
| certbot-dns-pdns-pyc-0.1.1-r1.apk | 3965 | 2025-Aug-28 01:20 |
| wl-screenrec-zsh-completion-0.1.7-r1.apk | 3741 | 2025-Aug-27 16:40 |
| wl-screenrec-fish-completion-0.1.7-r1.apk | 3296 | 2025-Aug-27 16:40 |
| wl-screenrec-doc-0.1.7-r1.apk | 9732 | 2025-Aug-27 16:40 |
| wl-screenrec-bash-completion-0.1.7-r1.apk | 2475 | 2025-Aug-27 16:40 |
| wl-screenrec-0.1.7-r1.apk | 550560 | 2025-Aug-27 16:40 |
| py3-pyglet-2.1.5-r1.apk | 911267 | 2025-Aug-27 14:45 |
| py3-pyglet-pyc-2.1.5-r1.apk | 1700000 | 2025-Aug-27 14:45 |
| perl-minion-backend-pg-11.0-r0.apk | 9799 | 2025-Aug-27 09:14 |
| perl-minion-11.0-r0.apk | 1575492 | 2025-Aug-27 09:14 |
| perl-minion-doc-11.0-r0.apk | 51833 | 2025-Aug-27 09:14 |
| cmusfm-0.5.0-r1.apk | 15531 | 2025-Aug-27 04:14 |
| xdg-desktop-portal-hyprland-doc-1.3.10-r0.apk | 2490 | 2025-Aug-25 17:41 |
| xdg-desktop-portal-hyprland-1.3.10-r0.apk | 346353 | 2025-Aug-25 17:41 |
| hiprompt-gtk-0.9-r0.apk | 260516 | 2025-Aug-25 17:31 |
| hare-gtk4-layer-shell-0.1.0-r0.apk | 3881 | 2025-Aug-25 17:31 |
| hare-gi-0.1.0-r0.apk | 1624163 | 2025-Aug-25 17:31 |
| hare-adwaita-0.1.0-r0.apk | 91742 | 2025-Aug-25 17:31 |
| buildbot-www-4.3.0-r0.apk | 432265 | 2025-Aug-25 13:20 |
| buildbot-wsgi-dashboards-4.3.0-r0.apk | 436042 | 2025-Aug-25 13:20 |
| buildbot-worker-4.3.0-r0.apk | 195960 | 2025-Aug-25 13:20 |
| buildbot-waterfall-view-4.3.0-r0.apk | 34801 | 2025-Aug-25 13:20 |
| buildbot-pyc-4.3.0-r0.apk | 1568356 | 2025-Aug-25 13:20 |
| buildbot-grid-view-4.3.0-r0.apk | 11598 | 2025-Aug-25 13:20 |
| buildbot-console-view-4.3.0-r0.apk | 23981 | 2025-Aug-25 13:20 |
| buildbot-badges-4.3.0-r0.apk | 9543 | 2025-Aug-25 13:20 |
| buildbot-4.3.0-r0.apk | 753519 | 2025-Aug-25 13:20 |
| py3-himitsu-pyc-0.0.9-r0.apk | 7725 | 2025-Aug-25 10:57 |
| py3-himitsu-0.0.9-r0.apk | 5950 | 2025-Aug-25 10:57 |
| py3-evalidate-pyc-2.0.5-r0.apk | 6494 | 2025-Aug-25 09:05 |
| py3-evalidate-2.0.5-r0.apk | 9624 | 2025-Aug-25 09:05 |
| py3-croniter-pyc-6.0.0-r0.apk | 26199 | 2025-Aug-25 09:05 |
| py3-croniter-6.0.0-r0.apk | 26423 | 2025-Aug-25 09:05 |
| xtensor-0.27.0-r0.apk | 276190 | 2025-Aug-25 06:40 |
| prowlarr-openrc-2.0.5.5160-r0.apk | 2078 | 2025-Aug-24 13:42 |
| prowlarr-2.0.5.5160-r0.apk | 19173157 | 2025-Aug-24 13:42 |
| usbmuxd-1.1.1_git20250201-r9.apk | 35058 | 2025-Aug-24 13:40 |
| usbmuxd-udev-1.1.1_git20250201-r9.apk | 2141 | 2025-Aug-24 13:40 |
| usbmuxd-doc-1.1.1_git20250201-r9.apk | 3079 | 2025-Aug-24 13:40 |
| ttynvt-0.17-r0.apk | 14645 | 2025-Aug-22 12:50 |
| incus-ui-canonical-0.18.0-r0.apk | 4879484 | 2025-Aug-22 12:18 |
| wiremix-0.7.0-r0.apk | 806614 | 2025-Aug-22 12:01 |
| wiremix-doc-0.7.0-r0.apk | 9626 | 2025-Aug-22 12:01 |
| maxima-bash-completion-5.48.1-r9.apk | 2386 | 2025-Aug-22 10:16 |
| maxima-5.48.1-r9.apk | 26485869 | 2025-Aug-22 10:16 |
| maxima-doc-extra-5.48.1-r9.apk | 10116322 | 2025-Aug-22 10:16 |
| maxima-doc-5.48.1-r9.apk | 865988 | 2025-Aug-22 10:16 |
| maxima-emacs-5.48.1-r9.apk | 113412 | 2025-Aug-22 10:16 |
| komikku-lang-1.85.0-r0.apk | 291116 | 2025-Aug-22 08:26 |
| komikku-1.85.0-r0.apk | 454759 | 2025-Aug-22 08:26 |
| komikku-pyc-1.85.0-r0.apk | 816752 | 2025-Aug-22 08:26 |
| texlab-5.23.1-r0.apk | 9162245 | 2025-Aug-22 07:31 |
| swappy-lang-1.7.1-r0.apk | 3883 | 2025-Aug-21 23:29 |
| swappy-doc-1.7.1-r0.apk | 3902 | 2025-Aug-21 23:29 |
| swappy-1.7.1-r0.apk | 28394 | 2025-Aug-21 23:29 |
| py3-cstruct-pyc-6.1-r0.apk | 38533 | 2025-Aug-21 23:06 |
| py3-cstruct-6.1-r0.apk | 23729 | 2025-Aug-21 23:06 |
| darkreader-4.9.110-r0.apk | 785832 | 2025-Aug-21 08:58 |
| vim-rust-305-r1.apk | 20375 | 2025-Aug-21 07:55 |
| dfl-sni-dev-0.3.0-r0.apk | 5073 | 2025-Aug-21 07:47 |
| wayqt-dev-0.3.0-r1.apk | 18799 | 2025-Aug-21 07:47 |
| wayqt-0.3.0-r1.apk | 136869 | 2025-Aug-21 07:47 |
| paperde-dev-0.3.0-r2.apk | 5093 | 2025-Aug-21 07:47 |
| paperde-0.3.0-r2.apk | 625056 | 2025-Aug-21 07:47 |
| dfl-sni-0.3.0-r0.apk | 60773 | 2025-Aug-21 07:47 |
| dfl-login1-dev-0.3.0-r0.apk | 3788 | 2025-Aug-21 07:47 |
| dfl-login1-0.3.0-r0.apk | 35215 | 2025-Aug-21 07:47 |
| dfl-ipc-dev-0.3.0-r0.apk | 4903 | 2025-Aug-21 07:47 |
| dfl-ipc-0.3.0-r0.apk | 48559 | 2025-Aug-21 07:47 |
| dfl-applications-dev-0.3.0-r0.apk | 4023 | 2025-Aug-21 07:47 |
| dfl-applications-0.3.0-r0.apk | 65171 | 2025-Aug-21 07:47 |
| himitsu-git-0.9.0-r0.apk | 99755 | 2025-Aug-20 16:37 |
| lomiri-calculator-app-4.1.0-r0.apk | 390216 | 2025-Aug-19 20:09 |
| lomiri-sounds-25.01-r0.apk | 18837784 | 2025-Aug-19 20:09 |
| lomiri-filemanager-app-lang-1.1.4-r0.apk | 183968 | 2025-Aug-19 20:09 |
| lomiri-filemanager-app-1.1.4-r0.apk | 333998 | 2025-Aug-19 20:09 |
| lomiri-docviewer-app-lang-3.1.2-r0.apk | 140876 | 2025-Aug-19 20:09 |
| lomiri-docviewer-app-doc-3.1.2-r0.apk | 2070 | 2025-Aug-19 20:09 |
| lomiri-docviewer-app-3.1.2-r0.apk | 226630 | 2025-Aug-19 20:09 |
| lomiri-calculator-app-lang-4.1.0-r0.apk | 42467 | 2025-Aug-19 20:09 |
| nrf5-sdk-17.1.0-r0.apk | 49237026 | 2025-Aug-19 19:54 |
| nrf5-sdk-doc-17.1.0-r0.apk | 3715 | 2025-Aug-19 19:54 |
| lv_font_conv-1.5.3-r0.apk | 1181741 | 2025-Aug-19 19:54 |
| lv_font_conv-doc-1.5.3-r0.apk | 5098 | 2025-Aug-19 19:54 |
| numbat-1.16.0-r0.apk | 1998903 | 2025-Aug-19 13:10 |
| numbat-doc-1.16.0-r0.apk | 32716 | 2025-Aug-19 13:10 |
| meep-dev-1.31.0-r1.apk | 504259 | 2025-Aug-19 13:05 |
| meep-1.31.0-r1.apk | 657729 | 2025-Aug-19 13:05 |
| getmail6-pyc-6.19.10-r0.apk | 105747 | 2025-Aug-19 11:29 |
| getmail6-doc-6.19.10-r0.apk | 141829 | 2025-Aug-19 11:29 |
| getmail6-6.19.10-r0.apk | 72084 | 2025-Aug-19 11:29 |
| lomiri-gallery-app-lang-3.0.2-r2.apk | 108800 | 2025-Aug-19 09:09 |
| lomiri-gallery-app-3.0.2-r2.apk | 3892595 | 2025-Aug-19 09:09 |
| chocolate-doom-doc-3.1.1-r0.apk | 238192 | 2025-Aug-19 01:30 |
| chocolate-doom-3.1.1-r0.apk | 1665117 | 2025-Aug-19 01:30 |
| rocm-cmake-6.4.3-r0.apk | 29118 | 2025-Aug-18 11:32 |
| rocm-core-doc-6.4.3-r0.apk | 2489 | 2025-Aug-18 11:32 |
| rocm-core-dev-6.4.3-r0.apk | 6746 | 2025-Aug-18 11:32 |
| rocm-core-6.4.3-r0.apk | 7570 | 2025-Aug-18 11:32 |
| rocm-cmake-doc-6.4.3-r0.apk | 2483 | 2025-Aug-18 11:32 |
| netsed-1.4-r0.apk | 9991 | 2025-Aug-18 10:15 |
| php81-pecl-brotli-0.18.2-r0.apk | 14669 | 2025-Aug-17 23:52 |
| cargo-machete-doc-0.9.1-r0.apk | 4463 | 2025-Aug-17 23:52 |
| cargo-machete-0.9.1-r0.apk | 1328239 | 2025-Aug-17 23:52 |
| perl-net-patricia-1.23-r0.apk | 20943 | 2025-Aug-16 14:28 |
| perl-net-patricia-doc-1.23-r0.apk | 6438 | 2025-Aug-16 14:28 |
| perl-extutils-makemaker-7.76-r0.apk | 178391 | 2025-Aug-16 14:24 |
| perl-cpan-changes-doc-0.500005-r0.apk | 18783 | 2025-Aug-16 14:24 |
| perl-cpan-changes-0.500005-r0.apk | 13726 | 2025-Aug-16 14:24 |
| netdiscover-doc-0.21-r0.apk | 4303 | 2025-Aug-15 12:46 |
| netdiscover-0.21-r0.apk | 470054 | 2025-Aug-15 12:46 |
| formiko-doc-1.5.0-r0.apk | 8749 | 2025-Aug-15 09:06 |
| formiko-pyc-1.5.0-r0.apk | 63033 | 2025-Aug-15 09:06 |
| formiko-1.5.0-r0.apk | 109529 | 2025-Aug-15 09:06 |
| perl-sys-virt-11.6.0-r0.apk | 213315 | 2025-Aug-14 13:41 |
| perl-sys-virt-doc-11.6.0-r0.apk | 108147 | 2025-Aug-14 13:41 |
| py3-rtree-pyc-1.4.1-r0.apk | 49264 | 2025-Aug-14 10:16 |
| py3-rtree-1.4.1-r0.apk | 27083 | 2025-Aug-14 10:16 |
| py3-apsw-3.50.4.0-r0.apk | 855984 | 2025-Aug-14 07:58 |
| py3-apsw-pyc-3.50.4.0-r0.apk | 568621 | 2025-Aug-14 07:58 |
| py3-pyzor-pyc-1.1.2-r0.apk | 55271 | 2025-Aug-14 06:26 |
| py3-pyzor-1.1.2-r0.apk | 41292 | 2025-Aug-14 06:26 |
| icingaweb2-module-businessprocess-doc-2.5.2-r0.apk | 2142099 | 2025-Aug-13 15:54 |
| icingaweb2-module-businessprocess-2.5.2-r0.apk | 112661 | 2025-Aug-13 15:54 |
| py3-yara-4.5.4-r0.apk | 17776 | 2025-Aug-13 15:32 |
| py3-netmiko-pyc-4.6.0-r0.apk | 381183 | 2025-Aug-13 15:31 |
| py3-netmiko-4.6.0-r0.apk | 196525 | 2025-Aug-13 15:31 |
| py3-telegram-bot-22.3-r0.apk | 498767 | 2025-Aug-13 15:30 |
| py3-telegram-bot-pyc-22.3-r0.apk | 800224 | 2025-Aug-13 15:30 |
| volatility3-2.26.0-r0.apk | 1061378 | 2025-Aug-13 15:28 |
| volatility3-pyc-2.26.0-r0.apk | 1294150 | 2025-Aug-13 15:28 |
| wlroots0.17-dbg-0.17.4-r3.apk | 1518980 | 2025-Aug-13 08:04 |
| wlroots0.17-0.17.4-r3.apk | 374846 | 2025-Aug-13 08:04 |
| py3-pyinstrument-pyc-5.1.1-r0.apk | 102914 | 2025-Aug-13 08:04 |
| wlroots0.17-dev-0.17.4-r3.apk | 79274 | 2025-Aug-13 08:04 |
| py3-pyinstrument-5.1.1-r0.apk | 111267 | 2025-Aug-13 08:04 |
| barman-3.15.0-r0.apk | 383167 | 2025-Aug-12 08:38 |
| barman-pyc-3.15.0-r0.apk | 603423 | 2025-Aug-12 08:38 |
| barman-doc-3.15.0-r0.apk | 88118 | 2025-Aug-12 08:38 |
| barman-bash-completion-3.15.0-r0.apk | 1676 | 2025-Aug-12 08:38 |
| rt6-6.0.1-r0.apk | 12858918 | 2025-Aug-12 07:48 |
| cliquer-dev-1.23-r0.apk | 7682 | 2025-Aug-12 04:15 |
| cliquer-tests-1.23-r0.apk | 24578 | 2025-Aug-12 04:15 |
| cliquer-static-1.23-r0.apk | 28111 | 2025-Aug-12 04:15 |
| cliquer-libs-1.23-r0.apk | 24097 | 2025-Aug-12 04:15 |
| cliquer-1.23-r0.apk | 7316 | 2025-Aug-12 04:15 |
| py3-markdownify-1.2.0-r0.apk | 16367 | 2025-Aug-11 12:35 |
| py3-markdownify-pyc-1.2.0-r0.apk | 18697 | 2025-Aug-11 12:35 |
| font-openmoji-16.0.0-r0.apk | 1437691 | 2025-Aug-11 06:31 |
| py3-maidenhead-pyc-1.8.0-r0.apk | 8145 | 2025-Aug-10 21:47 |
| py3-maidenhead-doc-1.8.0-r0.apk | 3772 | 2025-Aug-10 21:47 |
| py3-maidenhead-1.8.0-r0.apk | 8136 | 2025-Aug-10 21:47 |
| php81-pecl-ast-1.1.3-r0.apk | 19724 | 2025-Aug-10 17:43 |
| linkchecker-pyc-10.6.0-r0.apk | 259754 | 2025-Aug-10 11:39 |
| linkchecker-doc-10.6.0-r0.apk | 40001 | 2025-Aug-10 11:39 |
| linkchecker-10.6.0-r0.apk | 185442 | 2025-Aug-10 11:39 |
| rss-email-doc-0.5.1-r0.apk | 6550 | 2025-Aug-10 11:21 |
| rss-email-0.5.1-r0.apk | 2436246 | 2025-Aug-10 11:21 |
| convert2json-toml-json-2.3.2-r0.apk | 244188 | 2025-Aug-10 09:34 |
| convert2json-yaml-json-2.3.2-r0.apk | 269491 | 2025-Aug-10 09:34 |
| convert2json-yaml-jaq-2.3.2-r0.apk | 281037 | 2025-Aug-10 09:34 |
| convert2json-yaml-2.3.2-r0.apk | 1318 | 2025-Aug-10 09:34 |
| convert2json-xml-json-2.3.2-r0.apk | 205497 | 2025-Aug-10 09:34 |
| convert2json-xml-jaq-2.3.2-r0.apk | 217846 | 2025-Aug-10 09:34 |
| convert2json-xml-2.3.2-r0.apk | 1315 | 2025-Aug-10 09:34 |
| convert2json-toml-jaq-2.3.2-r0.apk | 256801 | 2025-Aug-10 09:34 |
| convert2json-toml-2.3.2-r0.apk | 1316 | 2025-Aug-10 09:34 |
| convert2json-rsv-json-2.3.2-r0.apk | 169198 | 2025-Aug-10 09:34 |
| convert2json-rsv-jaq-2.3.2-r0.apk | 183028 | 2025-Aug-10 09:34 |
| convert2json-rsv-2.3.2-r0.apk | 1313 | 2025-Aug-10 09:34 |
| convert2json-plist-json-2.3.2-r0.apk | 248885 | 2025-Aug-10 09:34 |
| convert2json-plist-jaq-2.3.2-r0.apk | 260487 | 2025-Aug-10 09:34 |
| convert2json-plist-2.3.2-r0.apk | 1315 | 2025-Aug-10 09:34 |
| convert2json-messagepack-json-2.3.2-r0.apk | 205842 | 2025-Aug-10 09:34 |
| convert2json-messagepack-jaq-2.3.2-r0.apk | 217068 | 2025-Aug-10 09:34 |
| convert2json-messagepack-2.3.2-r0.apk | 1319 | 2025-Aug-10 09:34 |
| convert2json-json-2.3.2-r0.apk | 1375 | 2025-Aug-10 09:34 |
| convert2json-jaq-2.3.2-r0.apk | 1394 | 2025-Aug-10 09:34 |
| convert2json-ini-json-2.3.2-r0.apk | 191620 | 2025-Aug-10 09:34 |
| convert2json-ini-jaq-2.3.2-r0.apk | 203069 | 2025-Aug-10 09:34 |
| convert2json-ini-2.3.2-r0.apk | 1317 | 2025-Aug-10 09:34 |
| convert2json-doc-2.3.2-r0.apk | 13606 | 2025-Aug-10 09:34 |
| convert2json-csv-json-2.3.2-r0.apk | 226880 | 2025-Aug-10 09:34 |
| convert2json-csv-jaq-2.3.2-r0.apk | 238426 | 2025-Aug-10 09:34 |
| convert2json-csv-2.3.2-r0.apk | 1314 | 2025-Aug-10 09:34 |
| convert2json-cbor-json-2.3.2-r0.apk | 208617 | 2025-Aug-10 09:34 |
| convert2json-cbor-jaq-2.3.2-r0.apk | 220172 | 2025-Aug-10 09:34 |
| convert2json-cbor-2.3.2-r0.apk | 1315 | 2025-Aug-10 09:34 |
| convert2json-bson-json-2.3.2-r0.apk | 238817 | 2025-Aug-10 09:34 |
| convert2json-bson-jaq-2.3.2-r0.apk | 250254 | 2025-Aug-10 09:34 |
| convert2json-bson-2.3.2-r0.apk | 1313 | 2025-Aug-10 09:34 |
| convert2json-2.3.2-r0.apk | 1358 | 2025-Aug-10 09:34 |
| sssd-dev-2.11.1-r1.apk | 15151 | 2025-Aug-09 16:28 |
| sssd-2.11.1-r1.apk | 2176383 | 2025-Aug-09 16:28 |
| redhat-fonts-4.1.0-r1.apk | 828401 | 2025-Aug-09 16:28 |
| sssd-openrc-2.11.1-r1.apk | 1719 | 2025-Aug-09 16:28 |
| py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk | 5757 | 2025-Aug-09 16:28 |
| py3-synapse-auto-accept-invite-1.2.0-r1.apk | 9996 | 2025-Aug-09 16:28 |
| py3-sssd-pyc-2.11.1-r1.apk | 49805 | 2025-Aug-09 16:28 |
| py3-sssd-2.11.1-r1.apk | 59134 | 2025-Aug-09 16:28 |
| py3-rofi-pyc-1.0.1-r1.apk | 12033 | 2025-Aug-09 16:28 |
| py3-rofi-1.0.1-r1.apk | 12453 | 2025-Aug-09 16:28 |
| py3-logtop-pyc-0.7-r1.apk | 4176 | 2025-Aug-09 16:28 |
| py3-logtop-0.7-r1.apk | 21680 | 2025-Aug-09 16:28 |
| py3-itemloaders-pyc-1.3.2-r1.apk | 16905 | 2025-Aug-09 16:28 |
| py3-itemloaders-1.3.2-r1.apk | 12598 | 2025-Aug-09 16:28 |
| py3-gtkspellcheck-pyc-5.0.3-r1.apk | 30329 | 2025-Aug-09 16:28 |
| py3-gtkspellcheck-5.0.3-r1.apk | 45855 | 2025-Aug-09 16:28 |
| perl-wanted-doc-0.1.0-r0.apk | 10985 | 2025-Aug-09 16:28 |
| perl-wanted-0.1.0-r0.apk | 19988 | 2025-Aug-09 16:28 |
| perl-promise-me-doc-0.6.0-r0.apk | 13137 | 2025-Aug-09 16:28 |
| perl-promise-me-0.6.0-r0.apk | 27788 | 2025-Aug-09 16:28 |
| mod_dnssd-0.6-r1.apk | 8545 | 2025-Aug-09 16:28 |
| mirrorhall-0.1.1-r2.apk | 26938 | 2025-Aug-09 16:28 |
| logtop-libs-0.7-r1.apk | 14507 | 2025-Aug-09 16:28 |
| logtop-doc-0.7-r1.apk | 2916 | 2025-Aug-09 16:28 |
| logtop-0.7-r1.apk | 13650 | 2025-Aug-09 16:28 |
| libnfcdef-dev-1.0.1-r1.apk | 5871 | 2025-Aug-09 16:27 |
| libnfcdef-1.0.1-r1.apk | 12823 | 2025-Aug-09 16:27 |
| libdbusaccess-dev-1.0.20-r1.apk | 5121 | 2025-Aug-09 16:27 |
| libdbusaccess-1.0.20-r1.apk | 17812 | 2025-Aug-09 16:27 |
| jupyterlab3-3.6.8-r1.apk | 14674752 | 2025-Aug-09 16:27 |
| hare-http-0.25.2.0-r1.apk | 21185 | 2025-Aug-09 16:27 |
| gupnp-doc-1.6.9-r1.apk | 3895 | 2025-Aug-09 16:27 |
| gupnp-dlna-dev-0.12.0-r1.apk | 24930 | 2025-Aug-09 16:27 |
| gupnp-dlna-0.12.0-r1.apk | 66111 | 2025-Aug-09 16:27 |
| gupnp-dev-1.6.9-r1.apk | 51607 | 2025-Aug-09 16:27 |
| gupnp-av-dev-0.14.4-r1.apk | 42579 | 2025-Aug-09 16:27 |
| gupnp-av-0.14.4-r1.apk | 80561 | 2025-Aug-09 16:27 |
| gupnp-1.6.9-r1.apk | 90425 | 2025-Aug-09 16:27 |
| gssdp-dev-1.6.4-r1.apk | 15898 | 2025-Aug-09 16:27 |
| gssdp-1.6.4-r1.apk | 45928 | 2025-Aug-09 16:27 |
| gnome-mimeapps-0.1-r1.apk | 3783 | 2025-Aug-09 16:27 |
| bzmenu-0.2.1-r3.apk | 1138416 | 2025-Aug-09 16:27 |
| py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk | 10773 | 2025-Aug-08 14:20 |
| py3-pytest-textual-snapshot-1.1.0-r0.apk | 9399 | 2025-Aug-08 14:20 |
| primesieve-libs-12.9-r0.apk | 129113 | 2025-Aug-07 06:14 |
| primesieve-doc-12.9-r0.apk | 4160 | 2025-Aug-07 06:14 |
| primesieve-dev-12.9-r0.apk | 1473237 | 2025-Aug-07 06:14 |
| primesieve-12.9-r0.apk | 45415 | 2025-Aug-07 06:14 |
| primecount-libs-7.19-r0.apk | 174963 | 2025-Aug-07 06:14 |
| primecount-doc-7.19-r0.apk | 3979 | 2025-Aug-07 06:14 |
| primecount-dev-7.19-r0.apk | 2415034 | 2025-Aug-07 06:14 |
| primecount-7.19-r0.apk | 30060 | 2025-Aug-07 06:14 |
| xendmail-doc-0.4.4-r0.apk | 2596 | 2025-Aug-06 08:51 |
| xendmail-0.4.4-r0.apk | 1111071 | 2025-Aug-06 08:51 |
| tcmalloc-profiler-2.17-r0.apk | 81691 | 2025-Aug-05 13:00 |
| tcmalloc-minimal-debug-2.17-r0.apk | 104432 | 2025-Aug-05 13:00 |
| tcmalloc-minimal-2.17-r0.apk | 56692 | 2025-Aug-05 13:00 |
| tcmalloc-debug-2.17-r0.apk | 117509 | 2025-Aug-05 13:00 |
| tcmalloc-2.17-r0.apk | 73635 | 2025-Aug-05 13:00 |
| gperftools-doc-2.17-r0.apk | 252828 | 2025-Aug-05 13:00 |
| gperftools-dev-2.17-r0.apk | 697955 | 2025-Aug-05 13:00 |
| gperftools-2.17-r0.apk | 23507 | 2025-Aug-05 13:00 |
| py3-pytest-datadir-pyc-1.8.0-r0.apk | 5522 | 2025-Aug-05 12:51 |
| py3-pytest-datadir-1.8.0-r0.apk | 7252 | 2025-Aug-05 12:51 |
| php84-pecl-mcrypt-1.0.9-r0.apk | 14628 | 2025-Aug-05 12:42 |
| php81-pecl-mcrypt-1.0.9-r0.apk | 14593 | 2025-Aug-05 12:42 |
| android-build-tools-15.0-r0.apk | 1869823 | 2025-Aug-04 14:24 |
| beancount-language-server-1.4.1-r0.apk | 1479146 | 2025-Aug-03 10:41 |
| telegram-bot-api-9.1-r0.apk | 7513862 | 2025-Aug-02 10:39 |
| telegram-tdlib-static-1.8.51-r0.apk | 20818385 | 2025-Aug-02 10:25 |
| telegram-tdlib-dev-1.8.51-r0.apk | 193211 | 2025-Aug-02 10:25 |
| telegram-tdlib-1.8.51-r0.apk | 7523203 | 2025-Aug-02 10:25 |
| lxqt-wayland-session-doc-0.2.1-r0.apk | 29536 | 2025-Jul-31 21:23 |
| lxqt-wayland-session-0.2.1-r0.apk | 344422 | 2025-Jul-31 21:23 |
| sfwbar-doc-1.0_beta161-r0.apk | 27142 | 2025-Jul-31 13:29 |
| sfwbar-1.0_beta161-r0.apk | 275782 | 2025-Jul-31 13:29 |
| nwg-panel-pyc-0.10.12-r0.apk | 276276 | 2025-Jul-31 07:28 |
| nwg-panel-doc-0.10.12-r0.apk | 4544 | 2025-Jul-31 07:28 |
| nwg-panel-0.10.12-r0.apk | 289616 | 2025-Jul-31 07:28 |
| ldapdomaindump-pyc-0.10.0-r0.apk | 31085 | 2025-Jul-30 19:18 |
| ldapdomaindump-0.10.0-r0.apk | 18679 | 2025-Jul-30 19:18 |
| megatools-doc-1.11.5.20250706-r0.apk | 53402 | 2025-Jul-30 15:11 |
| megatools-bash-completion-1.11.5.20250706-r0.apk | 4193 | 2025-Jul-30 15:11 |
| megatools-1.11.5.20250706-r0.apk | 62892 | 2025-Jul-30 15:11 |
| tabiew-0.11.0-r0.apk | 13444756 | 2025-Jul-30 07:46 |
| kbs2-zsh-completion-0.7.3-r0.apk | 4141 | 2025-Jul-30 07:28 |
| kbs2-fish-completion-0.7.3-r0.apk | 3526 | 2025-Jul-30 07:28 |
| kbs2-bash-completion-0.7.3-r0.apk | 3307 | 2025-Jul-30 07:28 |
| kbs2-0.7.3-r0.apk | 1277290 | 2025-Jul-30 07:28 |
| py3-pygelbooru-pyc-1.0.0-r0.apk | 11775 | 2025-Jul-30 04:28 |
| py3-pygelbooru-1.0.0-r0.apk | 20931 | 2025-Jul-30 04:28 |
| pneink-theme-doc-1.3-r0.apk | 1690 | 2025-Jul-30 00:21 |
| pneink-theme-1.3-r0.apk | 10079 | 2025-Jul-30 00:21 |
| soundconverter-pyc-4.1.1-r0.apk | 78476 | 2025-Jul-29 13:12 |
| soundconverter-lang-4.1.1-r0.apk | 109469 | 2025-Jul-29 13:12 |
| soundconverter-doc-4.1.1-r0.apk | 4831 | 2025-Jul-29 13:12 |
| soundconverter-4.1.1-r0.apk | 168465 | 2025-Jul-29 13:12 |
| lynis-doc-3.1.4-r0.apk | 51403 | 2025-Jul-29 08:08 |
| lynis-bash-completion-3.1.4-r0.apk | 3039 | 2025-Jul-29 08:08 |
| lynis-3.1.4-r0.apk | 282293 | 2025-Jul-29 08:08 |
| cargo-shuttle-zsh-completion-0.56.6-r0.apk | 8128 | 2025-Jul-29 07:56 |
| cargo-shuttle-fish-completion-0.56.6-r0.apk | 9265 | 2025-Jul-29 07:56 |
| cargo-shuttle-doc-0.56.6-r0.apk | 9210 | 2025-Jul-29 07:56 |
| cargo-shuttle-bash-completion-0.56.6-r0.apk | 5298 | 2025-Jul-29 07:56 |
| cargo-shuttle-0.56.6-r0.apk | 5212473 | 2025-Jul-29 07:56 |
| emacs-derl-0_git20231004-r1.apk | 24003 | 2025-Jul-29 04:36 |
| rot8-doc-1.0.0-r0.apk | 2278 | 2025-Jul-28 22:12 |
| rot8-1.0.0-r0.apk | 796555 | 2025-Jul-28 22:12 |
| py3-discid-pyc-1.3.0-r0.apk | 13788 | 2025-Jul-28 22:12 |
| py3-discid-1.3.0-r0.apk | 12833 | 2025-Jul-28 22:12 |
| dropwatch-doc-1.5.5-r1.apk | 3788 | 2025-Jul-28 14:37 |
| dropwatch-1.5.5-r1.apk | 15267 | 2025-Jul-28 14:37 |
| libcob4-3.2-r0.apk | 210448 | 2025-Jul-28 14:02 |
| gnucobol-lang-3.2-r0.apk | 323612 | 2025-Jul-28 14:02 |
| gnucobol-doc-3.2-r0.apk | 72503 | 2025-Jul-28 14:02 |
| gnucobol-3.2-r0.apk | 813396 | 2025-Jul-28 14:02 |
| ruby-facter-4.10.0-r0.apk | 223327 | 2025-Jul-28 02:04 |
| fatrace-doc-0.18.0-r0.apk | 3389 | 2025-Jul-27 19:28 |
| fatrace-0.18.0-r0.apk | 10092 | 2025-Jul-27 19:28 |
| py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk | 27024 | 2025-Jul-26 00:18 |
| py3-poetry-dynamic-versioning-1.9.1-r0.apk | 21091 | 2025-Jul-26 00:18 |
| py3-dunamai-pyc-1.25.0-r0.apk | 44901 | 2025-Jul-25 23:16 |
| py3-dunamai-1.25.0-r0.apk | 27502 | 2025-Jul-25 23:16 |
| tree-sitter-git-diff-0_git20230730-r1.apk | 9993 | 2025-Jul-25 22:58 |
| tree-sitter-git-commit-0_git20211225-r4.apk | 13169 | 2025-Jul-25 22:58 |
| cargo-vendor-filterer-0.5.18-r0.apk | 652624 | 2025-Jul-24 17:29 |
| perl-template-tiny-doc-1.16-r0.apk | 5135 | 2025-Jul-24 13:19 |
| perl-template-tiny-1.16-r0.apk | 5681 | 2025-Jul-24 13:19 |
| rpi-imager-doc-1.9.0-r1.apk | 3183 | 2025-Jul-24 08:08 |
| rpi-imager-1.9.0-r1.apk | 716189 | 2025-Jul-24 08:08 |
| tree-sitter-clojure-0.0.13-r0.apk | 23731 | 2025-Jul-23 11:59 |
| py3-quart-pyc-0.20.0-r0.apk | 150544 | 2025-Jul-23 06:34 |
| py3-quart-0.20.0-r0.apk | 70051 | 2025-Jul-23 06:34 |
| py3-priority-pyc-2.0.0-r0.apk | 9556 | 2025-Jul-23 06:34 |
| py3-priority-2.0.0-r0.apk | 10104 | 2025-Jul-23 06:34 |
| py3-hypercorn-pyc-0.17.3-r0.apk | 123165 | 2025-Jul-23 06:34 |
| py3-hypercorn-0.17.3-r0.apk | 48544 | 2025-Jul-23 06:34 |
| otpclient-doc-4.1.1-r0.apk | 3735 | 2025-Jul-22 22:44 |
| otpclient-4.1.1-r0.apk | 115327 | 2025-Jul-22 22:44 |
| nvidia-src-575.64.05-r0.apk | 18571305 | 2025-Jul-22 22:34 |
| screenkey-pyc-1.5-r7.apk | 74899 | 2025-Jul-22 22:16 |
| screenkey-doc-1.5-r7.apk | 11235 | 2025-Jul-22 22:16 |
| screenkey-1.5-r7.apk | 78194 | 2025-Jul-22 22:16 |
| ruby-libguestfs-1.56.1-r0.apk | 108636 | 2025-Jul-22 22:16 |
| py3-libguestfs-1.56.1-r0.apk | 181780 | 2025-Jul-22 22:16 |
| lua5.1-libguestfs-1.56.1-r0.apk | 83699 | 2025-Jul-22 22:16 |
| libguestfs-static-1.56.1-r0.apk | 455131 | 2025-Jul-22 22:16 |
| libguestfs-doc-1.56.1-r0.apk | 582202 | 2025-Jul-22 22:16 |
| libguestfs-dev-1.56.1-r0.apk | 30129 | 2025-Jul-22 22:16 |
| libguestfs-1.56.1-r0.apk | 333579 | 2025-Jul-22 22:16 |
| libgrapheme-doc-2.0.2-r0.apk | 21147 | 2025-Jul-22 22:16 |
| libgrapheme-dev-2.0.2-r0.apk | 31835 | 2025-Jul-22 22:16 |
| libgrapheme-2.0.2-r0.apk | 25768 | 2025-Jul-22 22:16 |
| guestfs-tools-1.56.1-r0.apk | 281635 | 2025-Jul-22 22:16 |
| git-extras-doc-7.4.0-r0.apk | 66750 | 2025-Jul-22 22:16 |
| git-extras-bash-completion-7.4.0-r0.apk | 2941 | 2025-Jul-22 22:16 |
| git-extras-7.4.0-r0.apk | 58445 | 2025-Jul-22 22:16 |
| tree-sitter-hare-0_git20230616-r2.apk | 33252 | 2025-Jul-22 21:29 |
| lutgen-zsh-completion-1.0.0-r0.apk | 1756 | 2025-Jul-21 20:40 |
| lutgen-fish-completion-1.0.0-r0.apk | 1832 | 2025-Jul-21 20:40 |
| lutgen-doc-1.0.0-r0.apk | 4576 | 2025-Jul-21 20:40 |
| lutgen-bash-completion-1.0.0-r0.apk | 1781 | 2025-Jul-21 20:40 |
| lutgen-1.0.0-r0.apk | 1907517 | 2025-Jul-21 20:40 |
| perl-set-infinite-doc-0.65-r0.apk | 11736 | 2025-Jul-21 08:41 |
| perl-set-infinite-0.65-r0.apk | 23438 | 2025-Jul-21 08:41 |
| perl-datetime-set-doc-0.3900-r0.apk | 18281 | 2025-Jul-21 08:41 |
| perl-datetime-set-0.3900-r0.apk | 20395 | 2025-Jul-21 08:41 |
| perl-datetime-astro-doc-1.04-r0.apk | 6160 | 2025-Jul-21 08:41 |
| perl-datetime-astro-1.04-r0.apk | 36005 | 2025-Jul-21 08:41 |
| perl-astro-telescope-doc-0.71-r0.apk | 5120 | 2025-Jul-21 08:41 |
| perl-astro-telescope-0.71-r0.apk | 49493 | 2025-Jul-21 08:41 |
| perl-astro-pal-doc-1.09-r0.apk | 7538 | 2025-Jul-21 08:41 |
| perl-astro-pal-1.09-r0.apk | 224000 | 2025-Jul-21 08:41 |
| perl-astro-montenbruck-doc-1.26-r0.apk | 61122 | 2025-Jul-21 08:41 |
| perl-astro-montenbruck-1.26-r0.apk | 54713 | 2025-Jul-21 08:41 |
| perl-astro-doc-0.78-r0.apk | 14156 | 2025-Jul-21 08:41 |
| perl-astro-0.78-r0.apk | 32732 | 2025-Jul-21 08:41 |
| wasmtime-dev-34.0.1-r0.apk | 93016 | 2025-Jul-20 23:08 |
| wasmtime-34.0.1-r0.apk | 7433241 | 2025-Jul-20 23:08 |
| libwasmtime-static-34.0.1-r0.apk | 5997312 | 2025-Jul-20 23:08 |
| libwasmtime-34.0.1-r0.apk | 3403585 | 2025-Jul-20 23:08 |
| gummiboot-efistub-48.1-r11.apk | 22238 | 2025-Jul-20 21:07 |
| gummiboot-doc-48.1-r11.apk | 2999 | 2025-Jul-20 21:07 |
| gummiboot-48.1-r11.apk | 46226 | 2025-Jul-20 21:07 |
| laze-zsh-completion-0.1.38-r0.apk | 3766 | 2025-Jul-20 20:22 |
| laze-fish-completion-0.1.38-r0.apk | 3524 | 2025-Jul-20 20:22 |
| laze-doc-0.1.38-r0.apk | 3681 | 2025-Jul-20 20:22 |
| laze-bash-completion-0.1.38-r0.apk | 3221 | 2025-Jul-20 20:22 |
| laze-0.1.38-r0.apk | 1116484 | 2025-Jul-20 20:22 |
| solarus-engine-doc-1.7.0-r2.apk | 3430 | 2025-Jul-20 19:28 |
| solarus-engine-1.7.0-r2.apk | 2170116 | 2025-Jul-20 19:28 |
| php83-pecl-eio-3.1.4-r0.apk | 28232 | 2025-Jul-20 15:26 |
| php84-pecl-vld-0.19.1-r0.apk | 15617 | 2025-Jul-20 13:27 |
| php83-pecl-vld-0.19.1-r0.apk | 15366 | 2025-Jul-20 13:27 |
| php82-pecl-vld-0.19.1-r0.apk | 15361 | 2025-Jul-20 13:27 |
| jaq-doc-2.3.0-r0.apk | 2290 | 2025-Jul-20 10:54 |
| jaq-2.3.0-r0.apk | 809591 | 2025-Jul-20 10:54 |
| dislocker-libs-0.7.3-r6.apk | 44668 | 2025-Jul-19 22:52 |
| dislocker-doc-0.7.3-r6.apk | 6164 | 2025-Jul-19 22:52 |
| dislocker-0.7.3-r6.apk | 11734 | 2025-Jul-19 22:52 |
| perl-nice-try-doc-1.3.17-r0.apk | 12800 | 2025-Jul-19 17:52 |
| perl-nice-try-1.3.17-r0.apk | 28524 | 2025-Jul-19 17:52 |
| i3status-rust-doc-0.34.0-r0.apk | 33926 | 2025-Jul-19 15:30 |
| i3status-rust-0.34.0-r0.apk | 4645857 | 2025-Jul-19 15:30 |
| py3-ansible-pylibssh-1.2.2-r0.apk | 249327 | 2025-Jul-18 07:51 |
| tremc-zsh-completion-0.9.4-r0.apk | 1860 | 2025-Jul-16 04:23 |
| tremc-doc-0.9.4-r0.apk | 2892 | 2025-Jul-16 04:23 |
| tremc-bash-completion-0.9.4-r0.apk | 1946 | 2025-Jul-16 04:23 |
| tremc-0.9.4-r0.apk | 53848 | 2025-Jul-16 04:23 |
| pyinfra-pyc-3.3.1-r0.apk | 369696 | 2025-Jul-16 00:27 |
| pyinfra-3.3.1-r0.apk | 196587 | 2025-Jul-16 00:27 |
| py3-ovos-utils-pyc-0.8.1-r0.apk | 133432 | 2025-Jul-15 20:03 |
| py3-ovos-utils-0.8.1-r0.apk | 74309 | 2025-Jul-15 20:03 |
| py3-ovos-phal-plugin-connectivity-events-pyc-0.1..> | 5192 | 2025-Jul-15 20:03 |
| py3-ovos-phal-plugin-connectivity-events-0.1.2-r..> | 9022 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk | 3982 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-rss-plugin-0.1.1-r0.apk | 8365 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk | 9094 | 2025-Jul-15 20:03 |
| py3-ovos-ocp-news-plugin-0.1.1-r0.apk | 11358 | 2025-Jul-15 20:03 |
| py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk | 4876 | 2025-Jul-15 20:03 |
| py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk | 9085 | 2025-Jul-15 20:03 |
| ovos-gui-pyc-1.3.3-r0.apk | 39354 | 2025-Jul-15 20:03 |
| ovos-gui-1.3.3-r0.apk | 38765 | 2025-Jul-15 20:03 |
| ovos-dinkum-listener-pyc-0.4.1-r0.apk | 58136 | 2025-Jul-15 20:03 |
| ovos-dinkum-listener-0.4.1-r0.apk | 111464 | 2025-Jul-15 20:03 |
| ovos-audio-pyc-1.0.1-r0.apk | 36689 | 2025-Jul-15 20:03 |
| ovos-audio-1.0.1-r0.apk | 139548 | 2025-Jul-15 20:03 |
| py3-ovos-plugin-manager-1.0.3-r0.apk | 88532 | 2025-Jul-15 19:34 |
| py3-ovos-plugin-manager-pyc-1.0.3-r0.apk | 170841 | 2025-Jul-15 19:34 |
| ovos-phal-pyc-0.2.10-r0.apk | 7415 | 2025-Jul-15 19:29 |
| py3-ovos-workshop-pyc-7.0.6-r0.apk | 169446 | 2025-Jul-15 19:29 |
| py3-ovos-workshop-7.0.6-r0.apk | 94396 | 2025-Jul-15 19:29 |
| py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk | 12826 | 2025-Jul-15 19:29 |
| py3-ovos-ww-plugin-vosk-0.1.7-r0.apk | 11771 | 2025-Jul-15 19:29 |
| ovos-phal-0.2.10-r0.apk | 10728 | 2025-Jul-15 19:29 |
| py3-ovos-config-2.1.1-r0.apk | 48353 | 2025-Jul-15 18:37 |
| py3-ovos-config-pyc-2.1.1-r0.apk | 35630 | 2025-Jul-15 18:37 |
| py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk | 10299 | 2025-Jul-15 16:49 |
| py3-ovos-phal-plugin-system-1.3.3-r0.apk | 11212 | 2025-Jul-15 16:49 |
| py3-drf-yasg-1.21.10-r0.apk | 4246934 | 2025-Jul-15 08:26 |
| py3-drf-yasg-pyc-1.21.10-r0.apk | 100577 | 2025-Jul-15 08:26 |
| isomd5sum-doc-1.2.5-r0.apk | 3048 | 2025-Jul-15 08:02 |
| isomd5sum-1.2.5-r0.apk | 20087 | 2025-Jul-15 08:02 |
| splitter-doc-0.4.1-r1.apk | 3919 | 2025-Jul-15 04:09 |
| splitter-0.4.1-r1.apk | 466667 | 2025-Jul-15 04:09 |
| wsmancli-doc-2.8.0-r0.apk | 3754 | 2025-Jul-14 14:34 |
| wsmancli-2.8.0-r0.apk | 18165 | 2025-Jul-14 14:34 |
| perl-sql-abstract-more-1.44-r0.apk | 28230 | 2025-Jul-14 05:59 |
| perl-sql-abstract-more-doc-1.44-r0.apk | 17075 | 2025-Jul-14 05:59 |
| py3-tls_parser-pyc-2.0.2-r0.apk | 17567 | 2025-Jul-14 03:41 |
| py3-tls_parser-2.0.2-r0.apk | 10553 | 2025-Jul-14 03:41 |
| py3-apt-pyc-2.9.9-r0.apk | 121874 | 2025-Jul-14 03:36 |
| py3-apt-lang-2.9.9-r0.apk | 81416 | 2025-Jul-14 03:36 |
| py3-apt-2.9.9-r0.apk | 173383 | 2025-Jul-14 03:36 |
| py3-flask-migrate-pyc-4.1.0-r0.apk | 18853 | 2025-Jul-13 21:53 |
| py3-flask-migrate-4.1.0-r0.apk | 13634 | 2025-Jul-13 21:53 |
| py3-furl-2.1.4-r0.apk | 28188 | 2025-Jul-13 21:52 |
| py3-furl-pyc-2.1.4-r0.apk | 33264 | 2025-Jul-13 21:52 |
| py3-bookkeeper-pyc-4.17.2-r0.apk | 68291 | 2025-Jul-13 21:44 |
| py3-bookkeeper-4.17.2-r0.apk | 44041 | 2025-Jul-13 21:44 |
| py3-evohome-client-0.3.9-r0.apk | 19279 | 2025-Jul-13 21:43 |
| py3-evohome-client-pyc-0.3.9-r0.apk | 27960 | 2025-Jul-13 21:43 |
| py3-sphinxcontrib-spelling-8.0.1-r0.apk | 13504 | 2025-Jul-13 21:43 |
| py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk | 19385 | 2025-Jul-13 21:43 |
| yaru-theme-blue-25.10.1-r0.apk | 784431 | 2025-Jul-13 20:17 |
| yaru-theme-viridian-25.10.1-r0.apk | 778497 | 2025-Jul-13 20:17 |
| yaru-theme-sage-25.10.1-r0.apk | 781509 | 2025-Jul-13 20:17 |
| yaru-theme-red-25.10.1-r0.apk | 778146 | 2025-Jul-13 20:17 |
| yaru-theme-purple-25.10.1-r0.apk | 775088 | 2025-Jul-13 20:17 |
| yaru-theme-prussiangreen-25.10.1-r0.apk | 777939 | 2025-Jul-13 20:17 |
| yaru-theme-olive-25.10.1-r0.apk | 777441 | 2025-Jul-13 20:17 |
| yaru-theme-mate-25.10.1-r0.apk | 790480 | 2025-Jul-13 20:17 |
| yaru-theme-magenta-25.10.1-r0.apk | 780136 | 2025-Jul-13 20:17 |
| yaru-theme-hdpi-25.10.1-r0.apk | 75020 | 2025-Jul-13 20:17 |
| yaru-theme-bark-25.10.1-r0.apk | 781096 | 2025-Jul-13 20:17 |
| yaru-theme-25.10.1-r0.apk | 860559 | 2025-Jul-13 20:17 |
| yaru-sounds-25.10.1-r0.apk | 1262897 | 2025-Jul-13 20:17 |
| yaru-shell-25.10.1-r0.apk | 232215 | 2025-Jul-13 20:17 |
| yaru-schemas-25.10.1-r0.apk | 1894 | 2025-Jul-13 20:17 |
| yaru-icon-theme-viridian-25.10.1-r0.apk | 1212703 | 2025-Jul-13 20:17 |
| yaru-icon-theme-sage-25.10.1-r0.apk | 1236615 | 2025-Jul-13 20:17 |
| yaru-icon-theme-red-25.10.1-r0.apk | 1257582 | 2025-Jul-13 20:17 |
| yaru-icon-theme-purple-25.10.1-r0.apk | 1251483 | 2025-Jul-13 20:17 |
| yaru-icon-theme-prussiangreen-25.10.1-r0.apk | 1215066 | 2025-Jul-13 20:17 |
| yaru-icon-theme-olive-25.10.1-r0.apk | 1201617 | 2025-Jul-13 20:17 |
| yaru-icon-theme-mate-25.10.1-r0.apk | 1398494 | 2025-Jul-13 20:17 |
| yaru-icon-theme-magenta-25.10.1-r0.apk | 1204142 | 2025-Jul-13 20:17 |
| yaru-icon-theme-blue-25.10.1-r0.apk | 1228514 | 2025-Jul-13 20:17 |
| yaru-icon-theme-bark-25.10.1-r0.apk | 1187433 | 2025-Jul-13 20:17 |
| yaru-icon-theme-25.10.1-r0.apk | 37043034 | 2025-Jul-13 20:17 |
| yaru-common-25.10.1-r0.apk | 4024849 | 2025-Jul-13 20:17 |
| py3-ly-doc-0.9.9-r0.apk | 8258 | 2025-Jul-12 21:56 |
| py3-ly-0.9.9-r0.apk | 191076 | 2025-Jul-12 21:56 |
| py3-ly-pyc-0.9.9-r0.apk | 360441 | 2025-Jul-12 21:56 |
| py3-tidalapi-0.8.4-r0.apk | 51325 | 2025-Jul-12 16:08 |
| py3-tidalapi-pyc-0.8.4-r0.apk | 92892 | 2025-Jul-12 16:08 |
| py3-piper-phonemize-2023.11.14.4-r9.apk | 146295 | 2025-Jul-12 16:07 |
| piper-tts-dev-2023.11.14.2-r14.apk | 144411 | 2025-Jul-12 16:07 |
| piper-tts-2023.11.14.2-r14.apk | 134132 | 2025-Jul-12 16:07 |
| piper-phonemize-libs-2023.11.14.4-r9.apk | 70191 | 2025-Jul-12 16:07 |
| piper-phonemize-dev-2023.11.14.4-r9.apk | 403687 | 2025-Jul-12 16:07 |
| piper-phonemize-2023.11.14.4-r9.apk | 9434746 | 2025-Jul-12 16:07 |
| octoprint-filecheck-pyc-2024.11.12-r0.apk | 11913 | 2025-Jul-12 16:07 |
| octoprint-filecheck-2024.11.12-r0.apk | 28669 | 2025-Jul-12 16:07 |
| py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk | 17613 | 2025-Jul-12 16:07 |
| py3-sphinxcontrib-phpdomain-0.13.0-r0.apk | 11614 | 2025-Jul-12 16:07 |
| py3-piper-tts-2023.11.14.2-r14.apk | 41873 | 2025-Jul-12 16:07 |
| py3-piper-phonemize-pyc-2023.11.14.4-r9.apk | 3427 | 2025-Jul-12 16:07 |
| py3-flask-accept-pyc-0.0.7-r0.apk | 3767 | 2025-Jul-12 15:29 |
| py3-flask-accept-0.0.7-r0.apk | 5709 | 2025-Jul-12 15:29 |
| py3-enzyme-pyc-0.5.2-r0.apk | 19252 | 2025-Jul-12 15:29 |
| py3-enzyme-0.5.2-r0.apk | 23267 | 2025-Jul-12 15:29 |
| py3-pathvalidate-pyc-3.3.1-r0.apk | 34399 | 2025-Jul-12 15:21 |
| py3-pathvalidate-3.3.1-r0.apk | 19552 | 2025-Jul-12 15:21 |
| py3-pcbnewtransition-pyc-0.5.2-r0.apk | 10090 | 2025-Jul-12 15:20 |
| py3-pcbnewtransition-0.5.2-r0.apk | 8066 | 2025-Jul-12 15:20 |
| perl-finance-quote-1.66-r0.apk | 108350 | 2025-Jul-12 13:43 |
| perl-test-kwalitee-1.28-r0.apk | 6534 | 2025-Jul-12 13:43 |
| perl-prereqscanner-notquitelite-doc-0.9917-r0.apk | 50262 | 2025-Jul-12 13:43 |
| perl-prereqscanner-notquitelite-0.9917-r0.apk | 42010 | 2025-Jul-12 13:43 |
| perl-module-cpants-analyse-doc-1.02-r0.apk | 28973 | 2025-Jul-12 13:43 |
| perl-module-cpants-analyse-1.02-r0.apk | 27154 | 2025-Jul-12 13:43 |
| perl-finance-quote-doc-1.66-r0.apk | 89729 | 2025-Jul-12 13:43 |
| perl-test-kwalitee-doc-1.28-r0.apk | 7058 | 2025-Jul-12 13:43 |
| perl-regexp-trie-doc-0.02-r0.apk | 3388 | 2025-Jul-12 07:22 |
| perl-regexp-trie-0.02-r0.apk | 3048 | 2025-Jul-12 07:22 |
| py3-imageio-pyc-2.37.0-r0.apk | 515899 | 2025-Jul-11 22:13 |
| py3-imageio-2.37.0-r0.apk | 292327 | 2025-Jul-11 22:13 |
| font-terminus-ttf-4.49.3-r0.apk | 550769 | 2025-Jul-11 19:54 |
| perl-test-perl-critic-doc-1.04-r0.apk | 6564 | 2025-Jul-11 16:50 |
| perl-test-perl-critic-1.04-r0.apk | 7000 | 2025-Jul-11 16:50 |
| perl-web-scraper-doc-0.38-r0.apk | 8266 | 2025-Jul-11 16:50 |
| perl-web-scraper-0.38-r0.apk | 7708 | 2025-Jul-11 16:50 |
| perl-file-find-object-0.3.9-r0.apk | 9378 | 2025-Jul-11 16:50 |
| perl-file-find-object-doc-0.3.9-r0.apk | 13523 | 2025-Jul-11 16:50 |
| perl-parse-distname-doc-0.05-r0.apk | 4480 | 2025-Jul-11 08:46 |
| perl-parse-distname-0.05-r0.apk | 5603 | 2025-Jul-11 08:46 |
| perl-archive-any-lite-0.11-r0.apk | 4221 | 2025-Jul-11 08:46 |
| perl-archive-any-lite-doc-0.11-r0.apk | 3785 | 2025-Jul-11 08:46 |
| perl-file-treecreate-0.0.1-r0.apk | 4114 | 2025-Jul-11 08:46 |
| perl-file-treecreate-doc-0.0.1-r0.apk | 4506 | 2025-Jul-11 08:46 |
| zycore-dev-1.5.0-r1.apk | 38294 | 2025-Jul-11 04:50 |
| zycore-1.5.0-r1.apk | 21048 | 2025-Jul-11 04:50 |
| zycore-doc-1.5.0-r1.apk | 443029 | 2025-Jul-11 04:50 |
| minigalaxy-1.4.0-r0.apk | 202005 | 2025-Jul-11 03:36 |
| minigalaxy-pyc-1.4.0-r0.apk | 137793 | 2025-Jul-11 03:36 |
| libsimpleble-c-0.10.3-r0.apk | 15063 | 2025-Jul-11 03:12 |
| simpleble-0.10.3-r0.apk | 1261 | 2025-Jul-11 03:12 |
| simpleble-dev-0.10.3-r0.apk | 28600 | 2025-Jul-11 03:12 |
| libsimpleble-0.10.3-r0.apk | 200986 | 2025-Jul-11 03:12 |
| libsimplebluez-0.10.3-r0.apk | 145010 | 2025-Jul-11 03:12 |
| steamguard-cli-0.17.1-r0.apk | 3353215 | 2025-Jul-10 22:02 |
| steamguard-cli-zsh-completion-0.17.1-r0.apk | 4325 | 2025-Jul-10 22:02 |
| steamguard-cli-bash-completion-0.17.1-r0.apk | 2964 | 2025-Jul-10 22:02 |
| materia-compact-gtk2-20210322-r3.apk | 35418 | 2025-Jul-10 17:09 |
| materia-compact-gnome-shell-20210322-r3.apk | 30011 | 2025-Jul-10 17:09 |
| materia-compact-chromium-20210322-r3.apk | 5831 | 2025-Jul-10 17:09 |
| materia-compact-20210322-r3.apk | 1728 | 2025-Jul-10 17:09 |
| materia-chromium-20210322-r3.apk | 5823 | 2025-Jul-10 17:09 |
| materia-20210322-r3.apk | 1712 | 2025-Jul-10 17:09 |
| materia-dark-compact-chromium-20210322-r3.apk | 5858 | 2025-Jul-10 17:09 |
| materia-gtk4-20210322-r3.apk | 43702 | 2025-Jul-10 17:09 |
| materia-gtk3-20210322-r3.apk | 64539 | 2025-Jul-10 17:09 |
| materia-gtk2-20210322-r3.apk | 35392 | 2025-Jul-10 17:09 |
| materia-gtk-theme-20210322-r3.apk | 2928 | 2025-Jul-10 17:09 |
| materia-gnome-shell-20210322-r3.apk | 29907 | 2025-Jul-10 17:09 |
| materia-dark-gtk4-20210322-r3.apk | 30116 | 2025-Jul-10 17:09 |
| materia-dark-gtk3-20210322-r3.apk | 40562 | 2025-Jul-10 17:09 |
| materia-dark-gtk2-20210322-r3.apk | 35317 | 2025-Jul-10 17:09 |
| materia-dark-gnome-shell-20210322-r3.apk | 29905 | 2025-Jul-10 17:09 |
| materia-dark-compact-gtk4-20210322-r3.apk | 30108 | 2025-Jul-10 17:09 |
| materia-dark-compact-gtk3-20210322-r3.apk | 40547 | 2025-Jul-10 17:09 |
| materia-dark-compact-gtk2-20210322-r3.apk | 35343 | 2025-Jul-10 17:09 |
| materia-dark-compact-gnome-shell-20210322-r3.apk | 29995 | 2025-Jul-10 17:09 |
| materia-dark-compact-20210322-r3.apk | 1745 | 2025-Jul-10 17:09 |
| materia-dark-chromium-20210322-r3.apk | 5840 | 2025-Jul-10 17:09 |
| materia-dark-20210322-r3.apk | 1725 | 2025-Jul-10 17:09 |
| materia-compact-gtk4-20210322-r3.apk | 43594 | 2025-Jul-10 17:09 |
| materia-compact-gtk3-20210322-r3.apk | 64418 | 2025-Jul-10 17:09 |
| perl-html-treebuilder-xpath-doc-0.14-r0.apk | 4310 | 2025-Jul-10 05:38 |
| perl-html-treebuilder-xpath-0.14-r0.apk | 7987 | 2025-Jul-10 05:38 |
| perl-data-binary-doc-0.01-r0.apk | 3158 | 2025-Jul-10 05:38 |
| perl-data-binary-0.01-r0.apk | 2834 | 2025-Jul-10 05:38 |
| perl-array-diff-doc-0.09-r0.apk | 3975 | 2025-Jul-10 05:38 |
| perl-array-diff-0.09-r0.apk | 3408 | 2025-Jul-10 05:38 |
| mdp-doc-1.0.18-r0.apk | 3964 | 2025-Jul-10 05:38 |
| mdp-1.0.18-r0.apk | 16605 | 2025-Jul-10 05:38 |
| honeybee-0.2.0-r1.apk | 1680209 | 2025-Jul-08 23:26 |
| honeybee-doc-0.2.0-r1.apk | 3489 | 2025-Jul-08 23:26 |
| perl-xml-xpathengine-0.14-r0.apk | 22225 | 2025-Jul-08 16:54 |
| perl-xml-xpathengine-doc-0.14-r0.apk | 11292 | 2025-Jul-08 16:54 |
| perl-date-range-doc-1.41-r0.apk | 4139 | 2025-Jul-08 16:53 |
| perl-date-range-1.41-r0.apk | 3844 | 2025-Jul-08 16:53 |
| perl-software-license-0.104007-r0.apk | 109184 | 2025-Jul-08 16:53 |
| perl-software-license-doc-0.104007-r0.apk | 51650 | 2025-Jul-08 16:53 |
| py3-svgpath-7.0-r0.apk | 19046 | 2025-Jul-08 06:37 |
| py3-svgpath-pyc-7.0-r0.apk | 24386 | 2025-Jul-08 06:37 |
| libtsm-dev-4.1.0-r0.apk | 11238 | 2025-Jul-08 06:35 |
| libtsm-4.1.0-r0.apk | 26817 | 2025-Jul-08 06:35 |
| py3-xsdata-pyc-25.7-r0.apk | 402121 | 2025-Jul-07 20:47 |
| py3-xsdata-25.7-r0.apk | 194227 | 2025-Jul-07 20:47 |
| catfish-pyc-4.20.1-r0.apk | 106606 | 2025-Jul-07 19:17 |
| catfish-lang-4.20.1-r0.apk | 170667 | 2025-Jul-07 19:17 |
| catfish-doc-4.20.1-r0.apk | 13597 | 2025-Jul-07 19:17 |
| catfish-4.20.1-r0.apk | 130856 | 2025-Jul-07 19:17 |
| perl-data-section-doc-0.200008-r0.apk | 5752 | 2025-Jul-07 17:02 |
| perl-data-section-0.200008-r0.apk | 6568 | 2025-Jul-07 17:02 |
| perl-smart-comments-doc-1.06-r0.apk | 8728 | 2025-Jul-07 17:02 |
| perl-smart-comments-1.06-r0.apk | 12573 | 2025-Jul-07 17:02 |
| py3-schema-pyc-0.7.7-r0.apk | 21014 | 2025-Jul-07 16:14 |
| py3-schema-0.7.7-r0.apk | 19890 | 2025-Jul-07 16:14 |
| waifu2x-converter-cpp-5.3.4-r9.apk | 12171406 | 2025-Jul-07 07:43 |
| py3-dateparser-pyc-1.2.2-r0.apk | 343240 | 2025-Jul-06 19:46 |
| py3-dateparser-1.2.2-r0.apk | 221411 | 2025-Jul-06 19:46 |
| ruby-minitest-power_assert-0.3.1-r0.apk | 2278 | 2025-Jul-06 19:16 |
| varnish-modules-doc-0.26.0-r0.apk | 22051 | 2025-Jul-06 19:16 |
| varnish-modules-0.26.0-r0.apk | 40473 | 2025-Jul-06 19:16 |
| ruby-ruby-progressbar-1.13.0-r0.apk | 25513 | 2025-Jul-06 19:16 |
| ruby-path_expander-1.1.3-r0.apk | 6569 | 2025-Jul-06 19:16 |
| ruby-minitest-server-1.0.8-r0.apk | 5519 | 2025-Jul-06 19:16 |
| ruby-minitest-reporters-1.7.1-r0.apk | 20568 | 2025-Jul-06 19:16 |
| ruby-minitest-proveit-1.0.0-r0.apk | 4879 | 2025-Jul-06 19:16 |
| ruby-minitest-focus-1.3.1-r0.apk | 5281 | 2025-Jul-06 19:16 |
| ruby-minitest-autotest-1.1.1-r0.apk | 14558 | 2025-Jul-06 19:16 |
| ruby-ansi-1.5.0-r0.apk | 26496 | 2025-Jul-06 19:16 |
| perl-devel-trace-doc-0.12-r0.apk | 3557 | 2025-Jul-06 19:16 |
| perl-devel-trace-0.12-r0.apk | 3442 | 2025-Jul-06 19:16 |
| py3-chameleon-pyc-4.6.0-r0.apk | 133764 | 2025-Jul-06 09:16 |
| py3-chameleon-4.6.0-r0.apk | 99476 | 2025-Jul-06 09:16 |
| xfce4-panel-profiles-doc-1.1.1-r0.apk | 20420 | 2025-Jul-06 09:16 |
| xfce4-panel-profiles-1.1.1-r0.apk | 58971 | 2025-Jul-06 09:16 |
| xfce4-panel-profiles-lang-1.1.1-r0.apk | 54750 | 2025-Jul-06 09:16 |
| darts-clone-dev-0.32h-r0.apk | 13514 | 2025-Jul-06 07:09 |
| darts-clone-0.32h-r0.apk | 39314 | 2025-Jul-06 07:09 |
| pinentry-bemenu-0.14.0-r1.apk | 8353 | 2025-Jul-06 00:17 |
| curtail-lang-1.13.0-r0.apk | 79379 | 2025-Jul-05 20:40 |
| curtail-1.13.0-r0.apk | 31086 | 2025-Jul-05 20:40 |
| deviced-openrc-0_git20250427-r0.apk | 1742 | 2025-Jul-05 20:03 |
| deviced-dev-0_git20250427-r0.apk | 26714 | 2025-Jul-05 20:03 |
| deviced-0_git20250427-r0.apk | 121686 | 2025-Jul-05 20:03 |
| cups-pdf-3.0.2-r0.apk | 22028 | 2025-Jul-04 21:11 |
| apostrophe-revealjs-5.2.1-r0.apk | 2552905 | 2025-Jul-03 10:58 |
| php81-shmop-8.1.33-r0.apk | 5997 | 2025-Jul-02 22:16 |
| php81-zip-8.1.33-r0.apk | 24838 | 2025-Jul-02 22:16 |
| php81-xsl-8.1.33-r0.apk | 12852 | 2025-Jul-02 22:16 |
| php81-xmlwriter-8.1.33-r0.apk | 11449 | 2025-Jul-02 22:16 |
| php81-xmlreader-8.1.33-r0.apk | 13094 | 2025-Jul-02 22:16 |
| php81-xml-8.1.33-r0.apk | 18796 | 2025-Jul-02 22:16 |
| php81-tokenizer-8.1.33-r0.apk | 11271 | 2025-Jul-02 22:16 |
| php81-tidy-8.1.33-r0.apk | 18242 | 2025-Jul-02 22:16 |
| php81-sysvshm-8.1.33-r0.apk | 6582 | 2025-Jul-02 22:16 |
| php81-sysvsem-8.1.33-r0.apk | 5676 | 2025-Jul-02 22:16 |
| php81-sysvmsg-8.1.33-r0.apk | 7499 | 2025-Jul-02 22:16 |
| php81-sqlite3-8.1.33-r0.apk | 20440 | 2025-Jul-02 22:16 |
| php81-sodium-8.1.33-r0.apk | 25989 | 2025-Jul-02 22:16 |
| php81-sockets-8.1.33-r0.apk | 34971 | 2025-Jul-02 22:16 |
| php81-soap-8.1.33-r0.apk | 136720 | 2025-Jul-02 22:16 |
| php81-snmp-8.1.33-r0.apk | 20481 | 2025-Jul-02 22:16 |
| php81-simplexml-8.1.33-r0.apk | 22572 | 2025-Jul-02 22:16 |
| php81-session-8.1.33-r0.apk | 36198 | 2025-Jul-02 22:16 |
| php81-pspell-8.1.33-r0.apk | 8019 | 2025-Jul-02 22:16 |
| php81-posix-8.1.33-r0.apk | 10914 | 2025-Jul-02 22:16 |
| php81-phpdbg-8.1.33-r0.apk | 1904397 | 2025-Jul-02 22:16 |
| php81-phar-8.1.33-r0.apk | 122881 | 2025-Jul-02 22:16 |
| php81-pgsql-8.1.33-r0.apk | 44512 | 2025-Jul-02 22:16 |
| php81-pear-8.1.33-r0.apk | 345967 | 2025-Jul-02 22:16 |
| php81-pdo_sqlite-8.1.33-r0.apk | 12530 | 2025-Jul-02 22:16 |
| php81-pdo_pgsql-8.1.33-r0.apk | 18995 | 2025-Jul-02 22:16 |
| php81-pdo_odbc-8.1.33-r0.apk | 12482 | 2025-Jul-02 22:16 |
| php81-pdo_mysql-8.1.33-r0.apk | 13048 | 2025-Jul-02 22:16 |
| php81-pdo_dblib-8.1.33-r0.apk | 12008 | 2025-Jul-02 22:16 |
| php81-pdo-8.1.33-r0.apk | 40533 | 2025-Jul-02 22:16 |
| php81-pcntl-8.1.33-r0.apk | 12929 | 2025-Jul-02 22:16 |
| php81-openssl-8.1.33-r0.apk | 71893 | 2025-Jul-02 22:16 |
| php81-opcache-8.1.33-r0.apk | 375455 | 2025-Jul-02 22:16 |
| php81-odbc-8.1.33-r0.apk | 23194 | 2025-Jul-02 22:16 |
| php81-mysqlnd-8.1.33-r0.apk | 78904 | 2025-Jul-02 22:16 |
| php81-mysqli-8.1.33-r0.apk | 40121 | 2025-Jul-02 22:16 |
| php81-mbstring-8.1.33-r0.apk | 581968 | 2025-Jul-02 22:16 |
| php81-litespeed-8.1.33-r0.apk | 1843786 | 2025-Jul-02 22:16 |
| php81-ldap-8.1.33-r0.apk | 30783 | 2025-Jul-02 22:16 |
| php81-intl-8.1.33-r0.apk | 136665 | 2025-Jul-02 22:16 |
| php81-imap-8.1.33-r0.apk | 32857 | 2025-Jul-02 22:16 |
| php81-iconv-8.1.33-r0.apk | 17607 | 2025-Jul-02 22:16 |
| php81-gmp-8.1.33-r0.apk | 20515 | 2025-Jul-02 22:16 |
| php81-gettext-8.1.33-r0.apk | 5754 | 2025-Jul-02 22:16 |
| php81-gd-8.1.33-r0.apk | 126180 | 2025-Jul-02 22:16 |
| php81-ftp-8.1.33-r0.apk | 23044 | 2025-Jul-02 22:16 |
| php81-fpm-8.1.33-r0.apk | 1903778 | 2025-Jul-02 22:16 |
| php81-fileinfo-8.1.33-r0.apk | 386625 | 2025-Jul-02 22:16 |
| php81-ffi-8.1.33-r0.apk | 67894 | 2025-Jul-02 22:16 |
| php81-exif-8.1.33-r0.apk | 29378 | 2025-Jul-02 22:16 |
| php81-enchant-8.1.33-r0.apk | 8507 | 2025-Jul-02 22:16 |
| php81-embed-8.1.33-r0.apk | 1808865 | 2025-Jul-02 22:16 |
| php81-dom-8.1.33-r0.apk | 60053 | 2025-Jul-02 22:16 |
| php81-doc-8.1.33-r0.apk | 69767 | 2025-Jul-02 22:16 |
| php81-dev-8.1.33-r0.apk | 961350 | 2025-Jul-02 22:16 |
| php81-dba-8.1.33-r0.apk | 22540 | 2025-Jul-02 22:16 |
| php81-curl-8.1.33-r0.apk | 34282 | 2025-Jul-02 22:16 |
| php81-ctype-8.1.33-r0.apk | 4973 | 2025-Jul-02 22:16 |
| php81-common-8.1.33-r0.apk | 25757 | 2025-Jul-02 22:16 |
| php81-cgi-8.1.33-r0.apk | 1833614 | 2025-Jul-02 22:16 |
| php81-calendar-8.1.33-r0.apk | 12383 | 2025-Jul-02 22:16 |
| php81-bz2-8.1.33-r0.apk | 9901 | 2025-Jul-02 22:16 |
| php81-bcmath-8.1.33-r0.apk | 17629 | 2025-Jul-02 22:16 |
| php81-apache2-8.1.33-r0.apk | 1817601 | 2025-Jul-02 22:16 |
| php81-8.1.33-r0.apk | 1855400 | 2025-Jul-02 22:16 |
| singular-emacs-4.4.1_p2-r0.apk | 104008 | 2025-Jul-02 17:18 |
| singular-doc-4.4.1_p2-r0.apk | 1415563 | 2025-Jul-02 17:18 |
| singular-dev-4.4.1_p2-r0.apk | 367735 | 2025-Jul-02 17:18 |
| singular-4.4.1_p2-r0.apk | 10975623 | 2025-Jul-02 17:18 |
| singular-static-4.4.1_p2-r0.apk | 6059934 | 2025-Jul-02 17:18 |
| dmenu-wl-0.1-r0.apk | 17215 | 2025-Jul-02 10:32 |
| dmenu-wl-doc-0.1-r0.apk | 4181 | 2025-Jul-02 10:32 |
| notification-daemon-3.20.0-r1.apk | 59770 | 2025-Jul-01 22:41 |
| sudo-ldap-1.9.17_p1-r0.apk | 826060 | 2025-Jul-01 18:58 |
| rizin-doc-0.8.1-r0.apk | 19719 | 2025-Jun-30 16:49 |
| rizin-dev-0.8.1-r0.apk | 330310 | 2025-Jun-30 16:49 |
| rizin-cutter-dev-2.4.1-r0.apk | 105682 | 2025-Jun-30 16:49 |
| rizin-cutter-2.4.1-r0.apk | 2666515 | 2025-Jun-30 16:49 |
| rizin-0.8.1-r0.apk | 2785973 | 2025-Jun-30 16:49 |
| rizin-libs-0.8.1-r0.apk | 5458665 | 2025-Jun-30 16:49 |
| kdiskmark-3.2.0-r0.apk | 175457 | 2025-Jun-30 16:46 |
| kdiskmark-lang-3.2.0-r0.apk | 32593 | 2025-Jun-30 16:46 |
| video-trimmer-lang-25.03-r0.apk | 94811 | 2025-Jun-30 13:45 |
| video-trimmer-25.03-r0.apk | 381245 | 2025-Jun-30 13:45 |
| perl-term-size-doc-0.211-r5.apk | 3891 | 2025-Jun-30 08:08 |
| perl-term-size-0.211-r5.apk | 5686 | 2025-Jun-30 08:08 |
| perl-syntax-operator-in-doc-0.10-r1.apk | 6047 | 2025-Jun-30 08:08 |
| perl-syntax-operator-in-0.10-r1.apk | 9606 | 2025-Jun-30 08:08 |
| perl-syntax-operator-equ-doc-0.10-r1.apk | 6727 | 2025-Jun-30 08:08 |
| perl-syntax-operator-equ-0.10-r1.apk | 8018 | 2025-Jun-30 08:08 |
| perl-syntax-keyword-match-doc-0.15-r1.apk | 8030 | 2025-Jun-30 08:08 |
| perl-syntax-keyword-match-0.15-r1.apk | 13852 | 2025-Jun-30 08:08 |
| perl-string-crc32-doc-2.100-r5.apk | 3516 | 2025-Jun-30 08:08 |
| perl-string-crc32-2.100-r5.apk | 6948 | 2025-Jun-30 08:08 |
| perl-string-compare-constanttime-doc-0.321-r7.apk | 5417 | 2025-Jun-30 08:08 |
| perl-string-compare-constanttime-0.321-r7.apk | 7299 | 2025-Jun-30 08:08 |
| perl-snmp-doc-5.0404-r14.apk | 14438 | 2025-Jun-30 08:08 |
| perl-snmp-5.0404-r14.apk | 70752 | 2025-Jun-30 08:08 |
| perl-signature-attribute-checked-doc-0.06-r1.apk | 4747 | 2025-Jun-30 08:08 |
| perl-signature-attribute-checked-0.06-r1.apk | 7855 | 2025-Jun-30 08:08 |
| perl-scalar-readonly-doc-0.03-r2.apk | 3511 | 2025-Jun-30 08:08 |
| perl-scalar-readonly-0.03-r2.apk | 5342 | 2025-Jun-30 08:08 |
| perl-ref-util-xs-doc-0.117-r9.apk | 3553 | 2025-Jun-30 08:08 |
| perl-ref-util-xs-0.117-r9.apk | 10073 | 2025-Jun-30 08:08 |
| perl-promise-xs-doc-0.20-r2.apk | 8967 | 2025-Jun-30 08:08 |
| perl-promise-xs-0.20-r2.apk | 23742 | 2025-Jun-30 08:08 |
| perl-ppi-xs-doc-0.910-r2.apk | 3509 | 2025-Jun-30 08:08 |
| perl-ppi-xs-0.910-r2.apk | 5767 | 2025-Jun-30 08:08 |
| perl-perlio-locale-doc-0.10-r13.apk | 3188 | 2025-Jun-30 08:08 |
| perl-perlio-locale-0.10-r13.apk | 4482 | 2025-Jun-30 08:08 |
| perl-pango-doc-1.227-r12.apk | 84345 | 2025-Jun-30 08:08 |
| perl-pango-1.227-r12.apk | 79629 | 2025-Jun-30 08:08 |
| perl-object-pad-fieldattr-checked-doc-0.12-r1.apk | 4636 | 2025-Jun-30 08:08 |
| perl-object-pad-fieldattr-checked-0.12-r1.apk | 8392 | 2025-Jun-30 08:08 |
| perl-net-idn-encode-doc-2.500-r2.apk | 22351 | 2025-Jun-30 08:08 |
| perl-net-idn-encode-2.500-r2.apk | 85080 | 2025-Jun-30 08:08 |
| perl-net-curl-doc-0.57-r1.apk | 40345 | 2025-Jun-30 08:08 |
| perl-net-curl-0.57-r1.apk | 63182 | 2025-Jun-30 08:08 |
| perl-net-async-redis-xs-doc-1.001-r2.apk | 5521 | 2025-Jun-30 08:08 |
| perl-net-async-redis-xs-1.001-r2.apk | 9007 | 2025-Jun-30 08:08 |
| perl-net-amqp-rabbitmq-doc-2.40012-r1.apk | 9985 | 2025-Jun-30 08:08 |
| perl-net-amqp-rabbitmq-2.40012-r1.apk | 79323 | 2025-Jun-30 08:08 |
| perl-musicbrainz-discid-doc-0.06-r2.apk | 4465 | 2025-Jun-30 08:08 |
| perl-musicbrainz-discid-0.06-r2.apk | 9331 | 2025-Jun-30 08:08 |
| perl-multidimensional-doc-0.014-r1.apk | 3232 | 2025-Jun-30 08:08 |
| perl-multidimensional-0.014-r1.apk | 4766 | 2025-Jun-30 08:08 |
| perl-math-random-isaac-xs-doc-1.004-r9.apk | 3926 | 2025-Jun-30 08:08 |
| perl-math-random-isaac-xs-1.004-r9.apk | 7860 | 2025-Jun-30 08:08 |
| perl-math-libm-doc-1.00-r15.apk | 3283 | 2025-Jun-30 08:08 |
| perl-math-libm-1.00-r15.apk | 10554 | 2025-Jun-30 08:08 |
| perl-math-int64-doc-0.57-r2.apk | 10786 | 2025-Jun-30 08:08 |
| perl-math-int64-0.57-r2.apk | 28344 | 2025-Jun-30 08:08 |
| perl-list-keywords-doc-0.11-r1.apk | 5708 | 2025-Jun-30 08:08 |
| perl-list-keywords-0.11-r1.apk | 14766 | 2025-Jun-30 08:08 |
| perl-list-binarysearch-xs-doc-0.09-r2.apk | 8331 | 2025-Jun-30 08:08 |
| perl-list-binarysearch-xs-0.09-r2.apk | 12285 | 2025-Jun-30 08:08 |
| perl-linux-pid-doc-0.04-r15.apk | 3143 | 2025-Jun-30 08:08 |
| perl-linux-pid-0.04-r15.apk | 4723 | 2025-Jun-30 08:08 |
| perl-libapreq2-doc-2.17-r3.apk | 38169 | 2025-Jun-30 08:08 |
| perl-libapreq2-dev-2.17-r3.apk | 56388 | 2025-Jun-30 08:08 |
| perl-libapreq2-2.17-r3.apk | 99850 | 2025-Jun-30 08:08 |
| perl-indirect-doc-0.39-r2.apk | 6563 | 2025-Jun-30 08:08 |
| perl-indirect-0.39-r2.apk | 15390 | 2025-Jun-30 08:08 |
| perl-imager-doc-1.028-r1.apk | 293302 | 2025-Jun-30 08:08 |
| perl-imager-1.028-r1.apk | 538220 | 2025-Jun-30 08:08 |
| perl-http-xsheaders-doc-0.400005-r2.apk | 6515 | 2025-Jun-30 08:08 |
| perl-http-xsheaders-0.400005-r2.apk | 19913 | 2025-Jun-30 08:08 |
| perl-html-gumbo-doc-0.18-r2.apk | 5556 | 2025-Jun-30 08:08 |
| perl-html-gumbo-0.18-r2.apk | 14204 | 2025-Jun-30 08:08 |
| perl-guard-doc-1.023-r10.apk | 5466 | 2025-Jun-30 08:08 |
| perl-guard-1.023-r10.apk | 8480 | 2025-Jun-30 08:08 |
| perl-gtk2-doc-1.24993-r7.apk | 694857 | 2025-Jun-30 08:08 |
| perl-gtk2-1.24993-r7.apk | 874712 | 2025-Jun-30 08:08 |
| perl-git-raw-doc-0.90-r4.apk | 122141 | 2025-Jun-30 08:08 |
| perl-git-raw-0.90-r4.apk | 172896 | 2025-Jun-30 08:08 |
| perl-future-asyncawait-hooks-doc-0.02-r1.apk | 3418 | 2025-Jun-30 08:08 |
| perl-future-asyncawait-hooks-0.02-r1.apk | 8084 | 2025-Jun-30 08:08 |
| perl-flowd-doc-0.9.1-r11.apk | 3332 | 2025-Jun-30 08:08 |
| perl-flowd-0.9.1-r11.apk | 21517 | 2025-Jun-30 08:08 |
| perl-file-mmagic-xs-doc-0.09008-r5.apk | 4364 | 2025-Jun-30 08:08 |
| perl-file-mmagic-xs-0.09008-r5.apk | 29771 | 2025-Jun-30 08:08 |
| perl-ffi-platypus-doc-2.10-r1.apk | 151521 | 2025-Jun-30 08:08 |
| perl-ffi-platypus-2.10-r1.apk | 185662 | 2025-Jun-30 08:08 |
| perl-ev-hiredis-doc-0.07-r3.apk | 4336 | 2025-Jun-30 08:08 |
| perl-ev-hiredis-0.07-r3.apk | 12713 | 2025-Jun-30 08:08 |
| perl-encode-detect-doc-1.01-r1.apk | 4963 | 2025-Jun-30 08:08 |
| perl-encode-detect-1.01-r1.apk | 73153 | 2025-Jun-30 08:08 |
| perl-dns-unbound-mojo-0.29-r2.apk | 2715 | 2025-Jun-30 08:08 |
| perl-dns-unbound-ioasync-0.29-r2.apk | 2476 | 2025-Jun-30 08:08 |
| perl-dns-unbound-doc-0.29-r2.apk | 15772 | 2025-Jun-30 08:08 |
| perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk | 1993 | 2025-Jun-30 08:08 |
| perl-dns-unbound-anyevent-0.29-r2.apk | 2396 | 2025-Jun-30 08:08 |
| perl-dns-unbound-0.29-r2.apk | 23082 | 2025-Jun-30 08:08 |
| perl-digest-crc-doc-0.24-r2.apk | 3363 | 2025-Jun-30 08:08 |
| perl-digest-crc-0.24-r2.apk | 9283 | 2025-Jun-30 08:08 |
| perl-devel-refcount-doc-0.10-r2.apk | 4404 | 2025-Jun-30 08:08 |
| perl-devel-refcount-0.10-r2.apk | 6147 | 2025-Jun-30 08:08 |
| perl-devel-nytprof-doc-6.14-r1.apk | 51745 | 2025-Jun-30 08:08 |
| perl-devel-nytprof-6.14-r1.apk | 399200 | 2025-Jun-30 08:08 |
| perl-devel-leak-doc-0.03-r14.apk | 3431 | 2025-Jun-30 08:08 |
| perl-devel-leak-0.03-r14.apk | 6780 | 2025-Jun-30 08:08 |
| perl-data-dump-streamer-doc-2.42-r1.apk | 17677 | 2025-Jun-30 08:08 |
| perl-data-dump-streamer-2.42-r1.apk | 50786 | 2025-Jun-30 08:08 |
| perl-data-clone-doc-0.006-r1.apk | 4643 | 2025-Jun-30 08:08 |
| perl-data-clone-0.006-r1.apk | 9812 | 2025-Jun-30 08:08 |
| perl-data-checks-doc-0.10-r1.apk | 8462 | 2025-Jun-30 08:08 |
| perl-data-checks-0.10-r1.apk | 22173 | 2025-Jun-30 08:08 |
| perl-crypt-blowfish-doc-2.14-r1.apk | 4170 | 2025-Jun-30 08:08 |
| perl-crypt-blowfish-2.14-r1.apk | 12325 | 2025-Jun-30 08:08 |
| perl-conf-libconfig-doc-1.0.3-r2.apk | 5637 | 2025-Jun-30 08:08 |
| perl-conf-libconfig-1.0.3-r2.apk | 24138 | 2025-Jun-30 08:08 |
| perl-check-unitcheck-doc-0.13-r2.apk | 3697 | 2025-Jun-30 08:08 |
| perl-check-unitcheck-0.13-r2.apk | 5942 | 2025-Jun-30 08:08 |
| perl-cairo-gobject-doc-1.005-r5.apk | 3074 | 2025-Jun-30 08:08 |
| perl-cairo-gobject-1.005-r5.apk | 6433 | 2025-Jun-30 08:08 |
| perl-cairo-doc-1.109-r5.apk | 14381 | 2025-Jun-30 08:08 |
| perl-cairo-1.109-r5.apk | 76229 | 2025-Jun-30 08:08 |
| perl-bsd-resource-doc-1.2911-r11.apk | 8120 | 2025-Jun-30 08:08 |
| perl-bsd-resource-1.2911-r11.apk | 18455 | 2025-Jun-30 08:08 |
| perl-bareword-filehandles-doc-0.007-r1.apk | 3316 | 2025-Jun-30 08:08 |
| perl-bareword-filehandles-0.007-r1.apk | 5922 | 2025-Jun-30 08:08 |
| perl-barcode-zbar-doc-0.10-r4.apk | 12980 | 2025-Jun-30 08:08 |
| perl-barcode-zbar-0.10-r4.apk | 30193 | 2025-Jun-30 08:08 |
| perl-b-utils-doc-0.27-r1.apk | 9742 | 2025-Jun-30 08:08 |
| perl-b-utils-0.27-r1.apk | 19458 | 2025-Jun-30 08:08 |
| perl-b-hooks-op-check-doc-0.22-r1.apk | 3858 | 2025-Jun-30 08:08 |
| perl-b-hooks-op-check-0.22-r1.apk | 6538 | 2025-Jun-30 08:08 |
| perl-autobox-doc-3.0.2-r1.apk | 9115 | 2025-Jun-30 08:08 |
| perl-autobox-3.0.2-r1.apk | 18950 | 2025-Jun-30 08:08 |
| perl-alien-libgumbo-doc-0.05-r1.apk | 4941 | 2025-Jun-30 08:08 |
| perl-alien-libgumbo-0.05-r1.apk | 589993 | 2025-Jun-30 08:08 |
| perl-algorithm-permute-doc-0.17-r1.apk | 5238 | 2025-Jun-30 08:08 |
| perl-algorithm-permute-0.17-r1.apk | 12042 | 2025-Jun-30 08:08 |
| openwsman-libs-2.8.1-r1.apk | 322050 | 2025-Jun-30 08:08 |
| openwsman-doc-2.8.1-r1.apk | 2457 | 2025-Jun-30 08:08 |
| openwsman-dev-2.8.1-r1.apk | 57045 | 2025-Jun-30 08:08 |
| openwsman-2.8.1-r1.apk | 47141 | 2025-Jun-30 08:08 |
| hw-probe-1.6.6-r2.apk | 126788 | 2025-Jun-30 08:08 |
| flowd-openrc-0.9.1-r11.apk | 1940 | 2025-Jun-30 08:08 |
| flowd-doc-0.9.1-r11.apk | 10329 | 2025-Jun-30 08:08 |
| flowd-dev-0.9.1-r11.apk | 8284 | 2025-Jun-30 08:08 |
| flowd-0.9.1-r11.apk | 79303 | 2025-Jun-30 08:08 |
| dnssec-tools-doc-2.2.3-r13.apk | 324630 | 2025-Jun-30 08:08 |
| dnssec-tools-dev-2.2.3-r13.apk | 192101 | 2025-Jun-30 08:08 |
| dnssec-tools-2.2.3-r13.apk | 769993 | 2025-Jun-30 08:08 |
| apache2-mod-perl-doc-2.0.13-r2.apk | 311301 | 2025-Jun-30 08:08 |
| apache2-mod-perl-dev-2.0.13-r2.apk | 38900 | 2025-Jun-30 08:08 |
| apache2-mod-perl-dbg-2.0.13-r2.apk | 63177 | 2025-Jun-30 08:08 |
| apache2-mod-perl-2.0.13-r2.apk | 672465 | 2025-Jun-30 08:08 |
| spvm-thread-doc-0.003-r1.apk | 6108 | 2025-Jun-30 08:08 |
| spvm-thread-0.003-r1.apk | 11598 | 2025-Jun-30 08:08 |
| spvm-mime-base64-doc-1.003-r1.apk | 5613 | 2025-Jun-30 08:08 |
| spvm-mime-base64-1.003-r1.apk | 15755 | 2025-Jun-30 08:08 |
| spvm-math-doc-1.006-r1.apk | 7104 | 2025-Jun-30 08:08 |
| spvm-math-1.006-r1.apk | 22302 | 2025-Jun-30 08:08 |
| spvm-errno-doc-0.093-r1.apk | 6151 | 2025-Jun-30 08:08 |
| spvm-errno-0.093-r1.apk | 17541 | 2025-Jun-30 08:08 |
| silc-client-doc-1.1.11-r18.apk | 84088 | 2025-Jun-30 08:08 |
| silc-client-1.1.11-r18.apk | 880204 | 2025-Jun-30 08:08 |
| pptpclient-doc-1.10.0-r6.apk | 7383 | 2025-Jun-30 08:08 |
| pptpclient-1.10.0-r6.apk | 32610 | 2025-Jun-30 08:08 |
| perl-xml-bare-doc-0.53-r14.apk | 11739 | 2025-Jun-30 08:08 |
| perl-xml-bare-0.53-r14.apk | 28694 | 2025-Jun-30 08:08 |
| perl-time-timegm-doc-0.01-r10.apk | 3962 | 2025-Jun-30 08:08 |
| perl-time-timegm-0.01-r10.apk | 6692 | 2025-Jun-30 08:08 |
| perl-time-moment-doc-0.44-r1.apk | 31648 | 2025-Jun-30 08:08 |
| perl-time-moment-0.44-r1.apk | 38480 | 2025-Jun-30 08:08 |
| py3-tokenizers-0.21.2-r0.apk | 1730960 | 2025-Jun-29 22:41 |
| py3-tokenizers-pyc-0.21.2-r0.apk | 29557 | 2025-Jun-29 22:41 |
| pure-data-doc-0.55.2-r0.apk | 5643328 | 2025-Jun-29 17:18 |
| pure-data-libs-0.55.2-r0.apk | 657896 | 2025-Jun-29 17:18 |
| pure-data-dev-0.55.2-r0.apk | 53860 | 2025-Jun-29 17:18 |
| pure-data-0.55.2-r0.apk | 1748354 | 2025-Jun-29 17:18 |
| xcompmgr-doc-1.1.10-r0.apk | 2661 | 2025-Jun-28 11:25 |
| xcompmgr-1.1.10-r0.apk | 14693 | 2025-Jun-28 11:25 |
| mm-common-doc-1.0.7-r0.apk | 34290 | 2025-Jun-27 16:39 |
| mm-common-1.0.7-r0.apk | 424105 | 2025-Jun-27 16:39 |
| texmaker-6.0.1-r0.apk | 12729746 | 2025-Jun-27 10:32 |
| srain-lang-1.8.1-r0.apk | 35952 | 2025-Jun-27 10:32 |
| srain-1.8.1-r0.apk | 164540 | 2025-Jun-27 10:32 |
| py3-senf-pyc-1.5.0-r0.apk | 33279 | 2025-Jun-27 10:32 |
| py3-senf-1.5.0-r0.apk | 20672 | 2025-Jun-27 10:32 |
| copyq-doc-10.0.0-r0.apk | 3576 | 2025-Jun-25 14:11 |
| copyq-bash-completion-10.0.0-r0.apk | 2325 | 2025-Jun-25 14:11 |
| copyq-10.0.0-r0.apk | 2714490 | 2025-Jun-25 14:11 |
| lurk-doc-0.3.10-r0.apk | 9950 | 2025-Jun-25 07:40 |
| lurk-0.3.10-r0.apk | 795153 | 2025-Jun-25 07:40 |
| hare-irc-0.25.2.0-r0.apk | 10922 | 2025-Jun-25 07:24 |
| macchina-doc-6.4.0-r0.apk | 5794 | 2025-Jun-25 03:45 |
| macchina-6.4.0-r0.apk | 1032006 | 2025-Jun-25 03:45 |
| android-file-transfer-libs-4.5-r0.apk | 131998 | 2025-Jun-24 23:02 |
| android-file-transfer-dev-4.5-r0.apk | 1610 | 2025-Jun-24 23:02 |
| android-file-transfer-cli-4.5-r0.apk | 113170 | 2025-Jun-24 23:02 |
| android-file-transfer-4.5-r0.apk | 198384 | 2025-Jun-24 23:02 |
| py3-simpleeval-pyc-1.0.3-r0.apk | 16865 | 2025-Jun-24 19:38 |
| py3-simpleeval-1.0.3-r0.apk | 15996 | 2025-Jun-24 19:38 |
| openrdap-doc-0.9.1-r0.apk | 2283 | 2025-Jun-24 15:50 |
| openrdap-0.9.1-r0.apk | 3598044 | 2025-Jun-24 15:50 |
| lemmy-ui-openrc-0.19.12-r0.apk | 2184 | 2025-Jun-23 04:46 |
| lemmy-ui-0.19.12-r0.apk | 54174774 | 2025-Jun-23 04:46 |
| py3-pdal-pyc-3.4.5-r1.apk | 13408 | 2025-Jun-21 21:43 |
| py3-pdal-3.4.5-r1.apk | 180245 | 2025-Jun-21 21:43 |
| pdal-python-plugins-1.6.5-r0.apk | 254618 | 2025-Jun-21 21:43 |
| vlang-0.4.11-r0.apk | 31804215 | 2025-Jun-21 13:57 |
| pihole-openrc-6.2.3-r0.apk | 1889 | 2025-Jun-20 18:05 |
| pihole-doc-6.2.3-r0.apk | 3841 | 2025-Jun-20 18:05 |
| pihole-bash-completion-6.2.3-r0.apk | 2236 | 2025-Jun-20 18:05 |
| pihole-6.2.3-r0.apk | 5868881 | 2025-Jun-20 18:05 |
| perl-hash-merge-extra-doc-0.06-r0.apk | 3450 | 2025-Jun-20 15:18 |
| perl-hash-merge-extra-0.06-r0.apk | 3213 | 2025-Jun-20 15:18 |
| tayga-doc-0.9.5-r0.apk | 6199 | 2025-Jun-20 07:58 |
| tayga-0.9.5-r0.apk | 27771 | 2025-Jun-20 07:58 |
| nwipe-doc-0.38-r0.apk | 3892 | 2025-Jun-19 17:34 |
| nwipe-0.38-r0.apk | 276581 | 2025-Jun-19 17:34 |
| flatseal-lang-2.3.1-r0.apk | 80825 | 2025-Jun-19 15:30 |
| flatseal-doc-2.3.1-r0.apk | 8664 | 2025-Jun-19 15:30 |
| flatseal-2.3.1-r0.apk | 43843 | 2025-Jun-19 15:30 |
| perl-moosex-nonmoose-doc-0.27-r1.apk | 9670 | 2025-Jun-19 10:55 |
| perl-moosex-nonmoose-0.27-r1.apk | 8386 | 2025-Jun-19 10:55 |
| perl-catalyst-component-instancepercontext-doc-0..> | 3370 | 2025-Jun-19 10:55 |
| perl-catalyst-component-instancepercontext-0.001..> | 2785 | 2025-Jun-19 10:55 |
| tup-vim-0.7.11-r1.apk | 2578 | 2025-Jun-19 08:45 |
| tup-doc-0.7.11-r1.apk | 21367 | 2025-Jun-19 08:45 |
| tup-0.7.11-r1.apk | 235962 | 2025-Jun-19 08:45 |
| moosefs-static-4.56.6-r2.apk | 713684 | 2025-Jun-19 08:45 |
| moosefs-metalogger-openrc-4.56.6-r2.apk | 1713 | 2025-Jun-19 08:45 |
| moosefs-metalogger-4.56.6-r2.apk | 40113 | 2025-Jun-19 08:45 |
| moosefs-master-openrc-4.56.6-r2.apk | 1707 | 2025-Jun-19 08:45 |
| moosefs-master-4.56.6-r2.apk | 373058 | 2025-Jun-19 08:45 |
| moosefs-doc-4.56.6-r2.apk | 97459 | 2025-Jun-19 08:45 |
| moosefs-client-4.56.6-r2.apk | 651551 | 2025-Jun-19 08:45 |
| moosefs-chunkserver-openrc-4.56.6-r2.apk | 1721 | 2025-Jun-19 08:45 |
| moosefs-chunkserver-4.56.6-r2.apk | 206363 | 2025-Jun-19 08:45 |
| moosefs-cgiserv-openrc-4.56.6-r2.apk | 2040 | 2025-Jun-19 08:45 |
| moosefs-cgiserv-4.56.6-r2.apk | 8010 | 2025-Jun-19 08:45 |
| moosefs-cgi-4.56.6-r2.apk | 124090 | 2025-Jun-19 08:45 |
| moosefs-4.56.6-r2.apk | 270713 | 2025-Jun-19 08:45 |
| lizardfs-metalogger-openrc-3.13.0-r17.apk | 1689 | 2025-Jun-19 08:45 |
| lizardfs-metalogger-3.13.0-r17.apk | 129512 | 2025-Jun-19 08:45 |
| lizardfs-master-openrc-3.13.0-r17.apk | 1685 | 2025-Jun-19 08:45 |
| lizardfs-master-3.13.0-r17.apk | 862177 | 2025-Jun-19 08:45 |
| lizardfs-doc-3.13.0-r17.apk | 11721 | 2025-Jun-19 08:45 |
| lizardfs-client-3.13.0-r17.apk | 1141545 | 2025-Jun-19 08:45 |
| lizardfs-chunkserver-openrc-3.13.0-r17.apk | 1701 | 2025-Jun-19 08:45 |
| lizardfs-chunkserver-3.13.0-r17.apk | 318936 | 2025-Jun-19 08:45 |
| lizardfs-cgiserv-openrc-3.13.0-r17.apk | 2021 | 2025-Jun-19 08:45 |
| lizardfs-cgiserv-3.13.0-r17.apk | 7543 | 2025-Jun-19 08:45 |
| lizardfs-cgi-3.13.0-r17.apk | 32213 | 2025-Jun-19 08:45 |
| lizardfs-bash-completion-3.13.0-r17.apk | 1931 | 2025-Jun-19 08:45 |
| lizardfs-3.13.0-r17.apk | 108930 | 2025-Jun-19 08:45 |
| bindfs-doc-1.17.7-r1.apk | 9269 | 2025-Jun-19 08:45 |
| bindfs-1.17.7-r1.apk | 21181 | 2025-Jun-19 08:45 |
| mesonlsp-4.3.7-r4.apk | 2197699 | 2025-Jun-19 05:35 |
| xemu-0.8.74-r0.apk | 4473335 | 2025-Jun-19 00:46 |
| tree-sitter-hcl-1.2.0-r0.apk | 22762 | 2025-Jun-19 00:46 |
| py3-jaraco.logging-pyc-3.4.0-r0.apk | 6259 | 2025-Jun-17 22:14 |
| py3-jaraco.logging-3.4.0-r0.apk | 5446 | 2025-Jun-17 22:14 |
| today-doc-6.2.1-r0.apk | 3384 | 2025-Jun-17 15:29 |
| today-6.2.1-r0.apk | 3256 | 2025-Jun-17 15:29 |
| dbus-broker-doc-37-r0.apk | 6012 | 2025-Jun-17 10:22 |
| dbus-broker-37-r0.apk | 86683 | 2025-Jun-17 10:22 |
| battery-limit-openrc-1-r0.apk | 1880 | 2025-Jun-17 10:22 |
| lychee-doc-0.19.1-r0.apk | 13228 | 2025-Jun-17 07:59 |
| lychee-0.19.1-r0.apk | 3181483 | 2025-Jun-17 07:59 |
| mdbook-admonish-1.20.0-r0.apk | 1069232 | 2025-Jun-16 23:18 |
| kontainer-1.0.1-r0.apk | 198118 | 2025-Jun-16 23:00 |
| py3-modern_colorthief-pyc-0.1.7-r0.apk | 2666 | 2025-Jun-16 17:58 |
| py3-modern_colorthief-0.1.7-r0.apk | 775824 | 2025-Jun-16 17:58 |
| mpv-sponsorblock-2.2.0-r0.apk | 1595922 | 2025-Jun-16 15:16 |
| perl-html-query-doc-0.09-r0.apk | 10342 | 2025-Jun-16 11:50 |
| perl-html-query-0.09-r0.apk | 14147 | 2025-Jun-16 11:50 |
| perl-css-inliner-doc-4027-r0.apk | 9669 | 2025-Jun-16 11:50 |
| perl-css-inliner-4027-r0.apk | 16208 | 2025-Jun-16 11:50 |
| quickemu-doc-4.9.7-r0.apk | 25231 | 2025-Jun-15 20:03 |
| quickemu-4.9.7-r0.apk | 57575 | 2025-Jun-15 20:03 |
| perl-task-catalyst-doc-4.02-r0.apk | 3769 | 2025-Jun-15 04:47 |
| perl-task-catalyst-4.02-r0.apk | 3020 | 2025-Jun-15 04:47 |
| perl-catalystx-profile-doc-0.02-r0.apk | 4652 | 2025-Jun-15 04:47 |
| perl-catalystx-profile-0.02-r0.apk | 3148 | 2025-Jun-15 04:47 |
| perl-catalystx-leakchecker-doc-0.06-r0.apk | 3602 | 2025-Jun-15 04:47 |
| perl-catalystx-leakchecker-0.06-r0.apk | 3455 | 2025-Jun-15 04:47 |
| rt5-5.0.8-r0.apk | 17738541 | 2025-Jun-15 04:44 |
| py3-recurring-ical-events-pyc-3.8.0-r0.apk | 51079 | 2025-Jun-15 03:57 |
| py3-recurring-ical-events-3.8.0-r0.apk | 39818 | 2025-Jun-15 03:57 |
| php81-pecl-couchbase-4.3.0-r0.apk | 5390169 | 2025-Jun-15 03:57 |
| lavacli-pyc-2.4-r0.apk | 90281 | 2025-Jun-15 03:57 |
| lavacli-doc-2.4-r0.apk | 34984 | 2025-Jun-15 03:57 |
| lavacli-2.4-r0.apk | 50511 | 2025-Jun-15 03:57 |
| perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk | 3978 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-stacktrace-0.12-r0.apk | 4784 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-session-store-file-doc-0.18..> | 4106 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-session-store-file-0.18-r0...> | 3735 | 2025-Jun-14 02:46 |
| perl-catalyst-plugin-session-store-delegate-doc-..> | 4635 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-delegate-0.06..> | 4587 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-dbic-doc-0.14..> | 6587 | 2025-Jun-13 18:17 |
| perl-catalyst-plugin-session-store-dbic-0.14-r0...> | 6027 | 2025-Jun-13 18:17 |
| perl-web-machine-doc-0.17-r0.apk | 29397 | 2025-Jun-13 18:17 |
| perl-web-machine-0.17-r0.apk | 20766 | 2025-Jun-13 18:17 |
| perl-http-headers-actionpack-doc-0.09-r0.apk | 41269 | 2025-Jun-13 18:17 |
| perl-http-headers-actionpack-0.09-r0.apk | 18434 | 2025-Jun-13 18:17 |
| hunspell-fr-doc-7.0-r0.apk | 2920 | 2025-Jun-13 15:36 |
| hunspell-fr-7.0-r0.apk | 1256140 | 2025-Jun-13 15:36 |
| sentinel-proxy-openrc-2.1.0-r1.apk | 2298 | 2025-Jun-13 14:12 |
| sentinel-proxy-dev-2.1.0-r1.apk | 4522 | 2025-Jun-13 14:12 |
| sentinel-proxy-2.1.0-r1.apk | 43694 | 2025-Jun-13 14:12 |
| logc-dev-0.5.0-r1.apk | 8801 | 2025-Jun-13 14:12 |
| logc-config-0.5.0-r1.apk | 5037 | 2025-Jun-13 14:12 |
| logc-argp-0.5.0-r1.apk | 16411 | 2025-Jun-13 14:12 |
| logc-0.5.0-r1.apk | 8150 | 2025-Jun-13 14:12 |
| antimicrox-doc-3.5.1-r0.apk | 24417 | 2025-Jun-13 13:55 |
| antimicrox-3.5.1-r0.apk | 1687408 | 2025-Jun-13 13:55 |
| tsung-1.8.0-r3.apk | 739071 | 2025-Jun-13 05:39 |
| normaliz-libs-3.10.4-r2.apk | 2782949 | 2025-Jun-13 04:57 |
| normaliz-dev-3.10.4-r2.apk | 74300 | 2025-Jun-13 04:57 |
| normaliz-3.10.4-r2.apk | 43590 | 2025-Jun-13 04:57 |
| libeantic-dev-2.1.0-r1.apk | 17920 | 2025-Jun-13 04:57 |
| libeantic-2.1.0-r1.apk | 77977 | 2025-Jun-13 04:57 |
| turn-rs-openrc-3.4.0-r1.apk | 2007 | 2025-Jun-12 13:59 |
| turn-rs-doc-3.4.0-r1.apk | 11088 | 2025-Jun-12 13:59 |
| turn-rs-3.4.0-r1.apk | 581603 | 2025-Jun-12 13:59 |
| py3-criu-pyc-3.19-r3.apk | 73976 | 2025-Jun-12 13:59 |
| py3-criu-3.19-r3.apk | 50389 | 2025-Jun-12 13:59 |
| py3-arcus-5.3.0-r5.apk | 86473 | 2025-Jun-12 13:59 |
| pulsar-client-cpp-dev-3.7.1-r0.apk | 66007 | 2025-Jun-12 13:59 |
| pulsar-client-cpp-3.7.1-r0.apk | 1435670 | 2025-Jun-12 13:59 |
| criu-doc-3.19-r3.apk | 24657 | 2025-Jun-12 13:59 |
| criu-dev-3.19-r3.apk | 92819 | 2025-Jun-12 13:59 |
| criu-3.19-r3.apk | 700721 | 2025-Jun-12 13:59 |
| clementine-1.4.1_git20250503-r0.apk | 6500860 | 2025-Jun-12 13:59 |
| w_scan2-doc-1.0.17-r0.apk | 4251 | 2025-Jun-11 17:37 |
| w_scan2-1.0.17-r0.apk | 136362 | 2025-Jun-11 17:37 |
| perl-catalyst-model-dbic-schema-doc-0.66-r0.apk | 18332 | 2025-Jun-11 16:35 |
| perl-catalyst-model-dbic-schema-0.66-r0.apk | 19580 | 2025-Jun-11 16:35 |
| perl-catalyst-authentication-store-dbix-class-do..> | 14200 | 2025-Jun-11 16:35 |
| perl-catalyst-authentication-store-dbix-class-0...> | 13638 | 2025-Jun-11 16:35 |
| perl-io-handle-util-doc-0.02-r0.apk | 10053 | 2025-Jun-11 16:34 |
| perl-io-handle-util-0.02-r0.apk | 10878 | 2025-Jun-11 16:34 |
| perl-asa-doc-1.04-r0.apk | 5115 | 2025-Jun-11 16:34 |
| perl-asa-1.04-r0.apk | 4421 | 2025-Jun-11 16:34 |
| candyboot-0.1.0-r0.apk | 23666 | 2025-Jun-11 12:34 |
| py3-svglib-pyc-1.5.1-r0.apk | 43431 | 2025-Jun-10 18:55 |
| py3-svglib-doc-1.5.1-r0.apk | 2129 | 2025-Jun-10 18:55 |
| py3-svglib-1.5.1-r0.apk | 30617 | 2025-Jun-10 18:55 |
| py3-rst2pdf-pyc-0.102-r0.apk | 210737 | 2025-Jun-10 18:55 |
| py3-rst2pdf-0.102-r0.apk | 158208 | 2025-Jun-10 18:55 |
| megazeux-doc-2.93d-r0.apk | 476452 | 2025-Jun-10 13:49 |
| megazeux-2.93d-r0.apk | 1493024 | 2025-Jun-10 13:49 |
| mapnik-doc-4.0.6-r1.apk | 145029 | 2025-Jun-10 10:45 |
| mapnik-dev-4.0.6-r1.apk | 497191 | 2025-Jun-10 10:45 |
| mapnik-4.0.6-r1.apk | 12193146 | 2025-Jun-10 10:45 |
| py3-libpyshell-pyc-0.4.1-r1.apk | 18741 | 2025-Jun-10 09:42 |
| py3-libpyshell-0.4.1-r1.apk | 11795 | 2025-Jun-10 09:42 |
| ktx-libs-4.3.2-r1.apk | 1429157 | 2025-Jun-10 02:57 |
| ktx-dev-4.3.2-r1.apk | 29615 | 2025-Jun-10 02:57 |
| ktx-4.3.2-r1.apk | 1313952 | 2025-Jun-10 02:57 |
| perl-text-worddiff-doc-0.09-r0.apk | 14004 | 2025-Jun-10 00:53 |
| perl-text-worddiff-0.09-r0.apk | 10681 | 2025-Jun-10 00:53 |
| perl-dbix-class-schema-loader-doc-0.07053-r0.apk | 79183 | 2025-Jun-10 00:53 |
| perl-dbix-class-schema-loader-0.07053-r0.apk | 99711 | 2025-Jun-10 00:53 |
| perl-dbix-class-cursor-cached-doc-1.001004-r0.apk | 3118 | 2025-Jun-10 00:53 |
| perl-dbix-class-cursor-cached-1.001004-r0.apk | 3293 | 2025-Jun-10 00:53 |
| perl-string-toidentifier-en-doc-0.12-r0.apk | 5714 | 2025-Jun-09 12:06 |
| perl-string-toidentifier-en-0.12-r0.apk | 5570 | 2025-Jun-09 12:06 |
| perl-lingua-en-inflect-phrase-doc-0.20-r0.apk | 3996 | 2025-Jun-09 12:06 |
| perl-lingua-en-inflect-phrase-0.20-r0.apk | 5443 | 2025-Jun-09 12:06 |
| perl-path-dispatcher-doc-1.08-r0.apk | 38637 | 2025-Jun-09 12:05 |
| perl-path-dispatcher-1.08-r0.apk | 14567 | 2025-Jun-09 12:05 |
| perl-moox-typetiny-doc-0.002003-r0.apk | 3243 | 2025-Jun-09 12:05 |
| perl-moox-typetiny-0.002003-r0.apk | 3637 | 2025-Jun-09 12:05 |
| raspberrypi-usbboot-20250227-r0.apk | 907071 | 2025-Jun-09 08:50 |
| youtube-viewer-gtk-3.11.6-r0.apk | 175715 | 2025-Jun-09 05:05 |
| youtube-viewer-doc-3.11.6-r0.apk | 41592 | 2025-Jun-09 05:05 |
| youtube-viewer-3.11.6-r0.apk | 85852 | 2025-Jun-09 05:05 |
| perl-snowball-swedish-doc-1.2-r0.apk | 3954 | 2025-Jun-08 16:03 |
| perl-snowball-swedish-1.2-r0.apk | 5367 | 2025-Jun-08 16:03 |
| perl-snowball-norwegian-doc-1.2-r0.apk | 3989 | 2025-Jun-08 16:03 |
| perl-snowball-norwegian-1.2-r0.apk | 5398 | 2025-Jun-08 16:03 |
| perl-shell-config-generate-doc-0.34-r0.apk | 6993 | 2025-Jun-08 16:03 |
| perl-shell-config-generate-0.34-r0.apk | 8026 | 2025-Jun-08 16:03 |
| perl-module-path-doc-0.19-r0.apk | 5940 | 2025-Jun-08 16:03 |
| perl-module-path-0.19-r0.apk | 4825 | 2025-Jun-08 16:03 |
| perl-memoize-expirelru-doc-0.56-r0.apk | 3935 | 2025-Jun-08 16:03 |
| perl-memoize-expirelru-0.56-r0.apk | 6371 | 2025-Jun-08 16:03 |
| perl-lingua-stem-snowball-da-doc-1.01-r0.apk | 3100 | 2025-Jun-08 16:03 |
| perl-lingua-stem-snowball-da-1.01-r0.apk | 4432 | 2025-Jun-08 16:03 |
| perl-lingua-stem-doc-2.31-r0.apk | 34390 | 2025-Jun-08 16:03 |
| perl-lingua-stem-2.31-r0.apk | 12673 | 2025-Jun-08 16:03 |
| perl-lingua-en-tagger-doc-0.31-r0.apk | 4562 | 2025-Jun-08 16:03 |
| perl-lingua-en-tagger-0.31-r0.apk | 558867 | 2025-Jun-08 16:03 |
| perl-lingua-en-number-isordinal-doc-0.05-r0.apk | 3528 | 2025-Jun-08 16:03 |
| perl-lingua-en-number-isordinal-0.05-r0.apk | 3132 | 2025-Jun-08 16:03 |
| perl-lingua-en-inflect-number-doc-1.12-r0.apk | 3644 | 2025-Jun-08 16:03 |
| perl-lingua-en-inflect-number-1.12-r0.apk | 3282 | 2025-Jun-08 16:03 |
| perl-lingua-en-findnumber-doc-1.32-r0.apk | 3566 | 2025-Jun-08 16:03 |
| perl-lingua-en-findnumber-1.32-r0.apk | 3328 | 2025-Jun-08 16:03 |
| perl-alien-base-modulebuild-doc-1.17-r0.apk | 54706 | 2025-Jun-08 16:03 |
| perl-alien-base-modulebuild-1.17-r0.apk | 22263 | 2025-Jun-08 16:03 |
| faust-vim-2.79.3-r0.apk | 2666 | 2025-Jun-07 17:01 |
| faust-tools-2.79.3-r0.apk | 123264 | 2025-Jun-07 17:01 |
| faust-static-2.79.3-r0.apk | 533855 | 2025-Jun-07 17:01 |
| faust-doc-2.79.3-r0.apk | 17503143 | 2025-Jun-07 17:01 |
| faust-dev-2.79.3-r0.apk | 1440051 | 2025-Jun-07 17:01 |
| faust-2.79.3-r0.apk | 8461336 | 2025-Jun-07 17:01 |
| ldc-runtime-cross-riscv64-1.41.0-r0.apk | 7414317 | 2025-Jun-07 16:13 |
| ldc-runtime-cross-ppc64le-1.41.0-r0.apk | 7185621 | 2025-Jun-07 16:13 |
| ldc-runtime-cross-loongarch64-1.41.0-r0.apk | 6868362 | 2025-Jun-07 16:13 |
| ldc-runtime-cross-aarch64-1.41.0-r0.apk | 7154262 | 2025-Jun-07 16:13 |
| ldc-runtime-cross-1.41.0-r0.apk | 2552 | 2025-Jun-07 16:13 |
| klong-20221212-r0.apk | 340904 | 2025-Jun-07 15:16 |
| perl-lingua-en-words2nums-doc-0.18-r0.apk | 3600 | 2025-Jun-07 14:55 |
| perl-lingua-en-words2nums-0.18-r0.apk | 4705 | 2025-Jun-07 14:55 |
| perl-shell-guess-doc-0.10-r0.apk | 5915 | 2025-Jun-07 14:55 |
| perl-shell-guess-0.10-r0.apk | 6111 | 2025-Jun-07 14:55 |
| perl-html-formatexternal-doc-26-r0.apk | 23560 | 2025-Jun-07 14:55 |
| perl-html-formatexternal-26-r0.apk | 17486 | 2025-Jun-07 14:55 |
| sponskrub-3.7.2-r9.apk | 188543 | 2025-Jun-07 09:13 |
| perl-text-german-doc-0.06-r0.apk | 3108 | 2025-Jun-07 02:00 |
| perl-text-german-0.06-r0.apk | 13409 | 2025-Jun-07 02:00 |
| perl-lingua-pt-stemmer-doc-0.02-r0.apk | 4385 | 2025-Jun-07 02:00 |
| perl-lingua-pt-stemmer-0.02-r0.apk | 5556 | 2025-Jun-07 02:00 |
| perl-lingua-stem-ru-doc-0.04-r0.apk | 3837 | 2025-Jun-06 14:57 |
| perl-lingua-stem-ru-0.04-r0.apk | 4219 | 2025-Jun-06 14:57 |
| perl-lingua-stem-it-doc-0.02-r0.apk | 3624 | 2025-Jun-06 14:57 |
| perl-lingua-stem-it-0.02-r0.apk | 5345 | 2025-Jun-06 14:57 |
| perl-lingua-stem-fr-doc-0.02-r0.apk | 4027 | 2025-Jun-06 14:57 |
| perl-lingua-stem-fr-0.02-r0.apk | 6280 | 2025-Jun-06 14:57 |
| perl-moosex-markasmethods-doc-0.15-r0.apk | 5329 | 2025-Jun-04 23:00 |
| perl-moosex-markasmethods-0.15-r0.apk | 5230 | 2025-Jun-04 23:00 |
| perl-class-unload-doc-0.11-r0.apk | 3144 | 2025-Jun-04 23:00 |
| perl-class-unload-0.11-r0.apk | 2648 | 2025-Jun-04 23:00 |
| 66-init-0.8.2.1-r0.apk | 1576 | 2025-Jun-03 19:11 |
| 66-doc-0.8.2.1-r0.apk | 194936 | 2025-Jun-03 19:11 |
| 66-dev-0.8.2.1-r0.apk | 1996967 | 2025-Jun-03 19:11 |
| 66-dbg-0.8.2.1-r0.apk | 775629 | 2025-Jun-03 19:11 |
| 66-0.8.2.1-r0.apk | 299589 | 2025-Jun-03 19:11 |
| qmk-cli-pyc-1.1.8-r0.apk | 22417 | 2025-Jun-02 03:03 |
| qmk-cli-1.1.8-r0.apk | 15335 | 2025-Jun-02 03:03 |
| oblibs-dev-0.3.4.0-r0.apk | 324468 | 2025-Jun-02 03:03 |
| oblibs-dbg-0.3.4.0-r0.apk | 101515 | 2025-Jun-02 03:03 |
| oblibs-0.3.4.0-r0.apk | 33333 | 2025-Jun-02 03:03 |
| 66-tools-nsrules-0.1.2.0-r0.apk | 2924 | 2025-Jun-02 03:03 |
| 66-tools-doc-0.1.2.0-r0.apk | 40310 | 2025-Jun-02 03:03 |
| 66-tools-dev-0.1.2.0-r0.apk | 2056 | 2025-Jun-02 03:03 |
| 66-tools-dbg-0.1.2.0-r0.apk | 109429 | 2025-Jun-02 03:03 |
| 66-tools-0.1.2.0-r0.apk | 56678 | 2025-Jun-02 03:03 |
| rtl8812au-src-5.6.4.2_git20250530-r0.apk | 2734844 | 2025-May-31 16:24 |
| asymptote-doc-3.04-r0.apk | 3286416 | 2025-May-31 06:51 |
| asymptote-3.04-r0.apk | 1509675 | 2025-May-31 06:51 |
| uclient-fetch-20241022-r0.apk | 9976 | 2025-May-30 05:47 |
| uclient-dev-20241022-r0.apk | 3632 | 2025-May-30 05:47 |
| uclient-20241022-r0.apk | 17939 | 2025-May-30 05:47 |
| toss-1.1-r1.apk | 11077 | 2025-May-29 12:00 |
| pypykatz-pyc-0.6.11-r1.apk | 738432 | 2025-May-29 12:00 |
| pypykatz-0.6.11-r1.apk | 322961 | 2025-May-29 12:00 |
| py3-winacl-pyc-0.1.9-r1.apk | 134386 | 2025-May-29 12:00 |
| py3-winacl-0.1.9-r1.apk | 84668 | 2025-May-29 12:00 |
| py3-minidump-pyc-0.0.24-r1.apk | 132194 | 2025-May-29 12:00 |
| py3-minidump-0.0.24-r1.apk | 65052 | 2025-May-29 12:00 |
| py3-mbedtls-pyc-2.10.1-r3.apk | 27654 | 2025-May-29 12:00 |
| py3-mbedtls-2.10.1-r3.apk | 971774 | 2025-May-29 12:00 |
| nmap-parse-output-doc-1.5.1-r1.apk | 827073 | 2025-May-29 12:00 |
| nmap-parse-output-bash-completion-1.5.1-r1.apk | 2031 | 2025-May-29 12:00 |
| nmap-parse-output-1.5.1-r1.apk | 20985 | 2025-May-29 12:00 |
| masky-pyc-0.2.0-r2.apk | 65184 | 2025-May-29 12:00 |
| masky-0.2.0-r2.apk | 283518 | 2025-May-29 12:00 |
| kerberoast-pyc-0.2.0-r2.apk | 15417 | 2025-May-29 12:00 |
| kerberoast-0.2.0-r2.apk | 9550 | 2025-May-29 12:00 |
| jackdaw-pyc-0.3.1-r2.apk | 372896 | 2025-May-29 12:00 |
| jackdaw-0.3.1-r2.apk | 2072852 | 2025-May-29 12:00 |
| corosync-openrc-3.1.9-r0.apk | 1825 | 2025-May-29 04:04 |
| corosync-doc-3.1.9-r0.apk | 194824 | 2025-May-29 04:04 |
| corosync-dev-3.1.9-r0.apk | 489114 | 2025-May-29 04:04 |
| corosync-3.1.9-r0.apk | 274918 | 2025-May-29 04:04 |
| botan2-libs-2.19.5-r0.apk | 2803794 | 2025-May-28 21:36 |
| botan2-doc-2.19.5-r0.apk | 313572 | 2025-May-28 21:36 |
| botan2-dev-2.19.5-r0.apk | 319434 | 2025-May-28 21:36 |
| botan2-2.19.5-r0.apk | 429777 | 2025-May-28 21:36 |
| paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk | 1904 | 2025-May-28 14:24 |
| paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk | 1830 | 2025-May-28 14:24 |
| paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk | 1909 | 2025-May-28 14:24 |
| paged-markdown-3-pdf-0.1.3-r0.apk | 475808 | 2025-May-28 14:24 |
| ouch-zsh-completion-0.6.1-r0.apk | 3200 | 2025-May-28 07:37 |
| ouch-fish-completion-0.6.1-r0.apk | 3109 | 2025-May-28 07:37 |
| ouch-doc-0.6.1-r0.apk | 3908 | 2025-May-28 07:37 |
| ouch-bash-completion-0.6.1-r0.apk | 2562 | 2025-May-28 07:37 |
| ouch-0.6.1-r0.apk | 1741374 | 2025-May-28 07:37 |
| kronosnet-doc-1.30-r0.apk | 108262 | 2025-May-27 23:07 |
| kronosnet-dev-1.30-r0.apk | 2836375 | 2025-May-27 23:07 |
| kronosnet-1.30-r0.apk | 79094 | 2025-May-27 23:07 |
| terminology-lang-1.14.0-r0.apk | 146410 | 2025-May-27 22:49 |
| terminology-doc-1.14.0-r0.apk | 9209 | 2025-May-27 22:49 |
| terminology-1.14.0-r0.apk | 2800267 | 2025-May-27 22:49 |
| baikal-sqlite-0.10.1-r1.apk | 1472 | 2025-May-27 21:37 |
| baikal-pgsql-0.10.1-r1.apk | 1323 | 2025-May-27 21:37 |
| baikal-mysql-0.10.1-r1.apk | 1321 | 2025-May-27 21:37 |
| baikal-0.10.1-r1.apk | 1311149 | 2025-May-27 21:37 |
| abnfgen-doc-0.21-r0.apk | 5193 | 2025-May-27 21:26 |
| abnfgen-0.21-r0.apk | 18177 | 2025-May-27 21:26 |
| font-cascadia-mono-2407.24-r1.apk | 519005 | 2025-May-27 14:33 |
| font-cascadia-code-2407.24-r1.apk | 538787 | 2025-May-27 14:33 |
| font-cascadia-2407.24-r1.apk | 1296 | 2025-May-27 14:33 |
| turntable-lang-0.3.3-r0.apk | 12638 | 2025-May-27 13:01 |
| turntable-0.3.3-r0.apk | 185761 | 2025-May-27 13:01 |
| hiawatha-openrc-11.6-r1.apk | 1728 | 2025-May-27 11:07 |
| hiawatha-letsencrypt-11.6-r1.apk | 17650 | 2025-May-27 11:07 |
| hiawatha-doc-11.6-r1.apk | 21524 | 2025-May-27 11:07 |
| hiawatha-11.6-r1.apk | 185326 | 2025-May-27 11:07 |
| linuxwave-doc-0.3.0-r0.apk | 3336 | 2025-May-26 18:25 |
| linuxwave-0.3.0-r0.apk | 187276 | 2025-May-26 18:25 |
| touchpad-emulator-0.3-r0.apk | 13511 | 2025-May-26 09:33 |
| elementary-settings-daemon-openrc-8.3.0-r0.apk | 1840 | 2025-May-26 09:05 |
| elementary-settings-daemon-lang-8.3.0-r0.apk | 75796 | 2025-May-26 09:05 |
| elementary-settings-daemon-8.3.0-r0.apk | 83964 | 2025-May-26 09:05 |
| libinfnoise-0.3.3-r0.apk | 13231 | 2025-May-26 08:58 |
| infnoise-openrc-0.3.3-r0.apk | 1770 | 2025-May-26 08:58 |
| infnoise-doc-0.3.3-r0.apk | 4245 | 2025-May-26 08:58 |
| infnoise-0.3.3-r0.apk | 14604 | 2025-May-26 08:58 |
| ruby-event_emitter-doc-0.2.6-r0.apk | 2320 | 2025-May-26 00:00 |
| ruby-event_emitter-0.2.6-r0.apk | 3150 | 2025-May-26 00:00 |
| ruby-fast_gettext-3.1.0-r0.apk | 22670 | 2025-May-25 23:58 |
| ruby-coderay-doc-1.1.3-r0.apk | 2342 | 2025-May-25 23:56 |
| ruby-coderay-1.1.3-r0.apk | 91207 | 2025-May-25 23:56 |
| ruby-benchmark-ips-doc-2.14.0-r0.apk | 2320 | 2025-May-25 23:54 |
| ruby-benchmark-ips-2.14.0-r0.apk | 14678 | 2025-May-25 23:54 |
| ruby-appraisal-doc-2.5.0-r0.apk | 2364 | 2025-May-25 23:52 |
| ruby-appraisal-2.5.0-r0.apk | 11131 | 2025-May-25 23:52 |
| obnc-doc-0.17.2-r0.apk | 34118 | 2025-May-25 23:37 |
| obnc-0.17.2-r0.apk | 142421 | 2025-May-25 23:37 |
| tinyemu-2019.12.21-r0.apk | 162076 | 2025-May-25 23:35 |
| codec2-dev-1.2.0-r0.apk | 15790 | 2025-May-25 22:13 |
| codec2-1.2.0-r0.apk | 682969 | 2025-May-25 22:13 |
| guetzli-dev-0_git20191025-r2.apk | 2512336 | 2025-May-25 07:49 |
| guetzli-0_git20191025-r2.apk | 164017 | 2025-May-25 07:49 |
| ginac-doc-1.8.9-r0.apk | 99850 | 2025-May-25 07:49 |
| ginac-dev-1.8.9-r0.apk | 69797 | 2025-May-25 07:49 |
| ginac-1.8.9-r0.apk | 1176062 | 2025-May-25 07:49 |
| endlessh-doc-1.1-r1.apk | 2724 | 2025-May-25 07:49 |
| endlessh-1.1-r1.apk | 9332 | 2025-May-25 07:49 |
| cln-doc-1.3.7-r1.apk | 79200 | 2025-May-25 07:49 |
| cln-dev-1.3.7-r1.apk | 1124347 | 2025-May-25 07:49 |
| cln-1.3.7-r1.apk | 464244 | 2025-May-25 07:49 |
| elementary-dock-lang-8.0.2-r0.apk | 28218 | 2025-May-24 22:57 |
| elementary-dock-8.0.2-r0.apk | 89737 | 2025-May-24 22:57 |
| nb-zsh-completion-7.19.1-r0.apk | 3021 | 2025-May-24 22:10 |
| nb-full-7.19.1-r0.apk | 1330 | 2025-May-24 22:10 |
| nb-fish-completion-7.19.1-r0.apk | 2868 | 2025-May-24 22:10 |
| nb-doc-7.19.1-r0.apk | 79331 | 2025-May-24 22:10 |
| nb-bash-completion-7.19.1-r0.apk | 3056 | 2025-May-24 22:10 |
| nb-7.19.1-r0.apk | 155786 | 2025-May-24 22:10 |
| wayprompt-doc-0.1.2-r2.apk | 6238 | 2025-May-24 02:16 |
| wayprompt-0.1.2-r2.apk | 480553 | 2025-May-24 02:16 |
| ripasso-cursive-0.7.0-r0.apk | 3205329 | 2025-May-23 09:26 |
| drogon-doc-1.9.4-r2.apk | 2323 | 2025-May-22 07:16 |
| drogon-dev-1.9.4-r2.apk | 124259 | 2025-May-22 07:16 |
| drogon-1.9.4-r2.apk | 1603166 | 2025-May-22 07:16 |
| apache-mod-auth-openidc-static-2.4.16.11-r1.apk | 296520 | 2025-May-22 07:16 |
| apache-mod-auth-openidc-doc-2.4.16.11-r1.apk | 4554 | 2025-May-22 07:16 |
| apache-mod-auth-openidc-2.4.16.11-r1.apk | 228582 | 2025-May-22 07:16 |
| php83-pecl-excimer-1.2.5-r0.apk | 19743 | 2025-May-20 15:41 |
| php82-pecl-excimer-1.2.5-r0.apk | 19726 | 2025-May-20 15:41 |
| river-luatile-0.1.4-r0.apk | 254456 | 2025-May-19 13:10 |
| apache2-mod-maxminddb-1.2.0-r0.apk | 11074 | 2025-May-19 10:23 |
| trippy-zsh-completion-0.13.0-r0.apk | 4964 | 2025-May-18 23:20 |
| trippy-bash-completion-0.13.0-r0.apk | 3256 | 2025-May-18 23:20 |
| trippy-0.13.0-r0.apk | 2138285 | 2025-May-18 23:20 |
| dvisvgm-doc-3.4.4-r0.apk | 26705 | 2025-May-18 22:44 |
| dvisvgm-3.4.4-r0.apk | 1104319 | 2025-May-18 22:44 |
| afew-doc-3.0.1-r0.apk | 12693 | 2025-May-18 22:30 |
| afew-3.0.1-r0.apk | 74892 | 2025-May-18 22:30 |
| parse-changelog-0.6.12-r0.apk | 563502 | 2025-May-18 22:20 |
| diskus-0.8.0-r0.apk | 346242 | 2025-May-18 22:20 |
| httplz-doc-2.2.0-r0.apk | 2309 | 2025-May-18 15:38 |
| httplz-2.2.0-r0.apk | 1342836 | 2025-May-18 15:38 |
| perl-net-netmask-doc-2.0003-r0.apk | 8758 | 2025-May-18 04:10 |
| perl-net-netmask-2.0003-r0.apk | 13842 | 2025-May-18 04:10 |
| mdbook-katex-0.9.4-r0.apk | 1380460 | 2025-May-17 17:30 |
| mdbook-linkcheck-0.7.7-r0.apk | 2783362 | 2025-May-16 19:16 |
| felix-2.16.1-r0.apk | 663571 | 2025-May-16 08:59 |
| py3-python-jwt-pyc-4.1.0-r2.apk | 6625 | 2025-May-15 21:26 |
| py3-python-jwt-4.1.0-r2.apk | 8260 | 2025-May-15 21:26 |
| py3-confluent-kafka-pyc-2.9.0-r0.apk | 232269 | 2025-May-15 09:51 |
| py3-confluent-kafka-2.9.0-r0.apk | 187758 | 2025-May-15 09:51 |
| py3-cjkwrap-pyc-2.2-r6.apk | 5376 | 2025-May-14 19:18 |
| py3-cjkwrap-2.2-r6.apk | 4622 | 2025-May-14 19:18 |
| ytmdl-zsh-completion-2024.08.15.1-r1.apk | 2162 | 2025-May-14 18:16 |
| ytmdl-pyc-2024.08.15.1-r1.apk | 79980 | 2025-May-14 18:16 |
| ytmdl-bash-completion-2024.08.15.1-r1.apk | 2324 | 2025-May-14 18:16 |
| ytmdl-2024.08.15.1-r1.apk | 51372 | 2025-May-14 18:16 |
| xkb-switch-doc-1.8.5-r1.apk | 2162 | 2025-May-14 18:16 |
| xkb-switch-1.8.5-r1.apk | 18707 | 2025-May-14 18:16 |
| xcape-doc-1.2-r1.apk | 3147 | 2025-May-14 18:16 |
| xcape-1.2-r1.apk | 6733 | 2025-May-14 18:16 |
| subliminal-pyc-2.2.1-r1.apk | 137886 | 2025-May-14 18:16 |
| subliminal-2.2.1-r1.apk | 70067 | 2025-May-14 18:16 |
| sandbar-0.1-r1.apk | 14562 | 2025-May-14 18:16 |
| py3-youtube-search-pyc-1.6.6-r5.apk | 97586 | 2025-May-14 18:16 |
| py3-youtube-search-1.6.6-r5.apk | 79949 | 2025-May-14 18:16 |
| py3-simber-pyc-0.2.6-r5.apk | 16593 | 2025-May-14 18:16 |
| py3-simber-0.2.6-r5.apk | 12171 | 2025-May-14 18:16 |
| py3-pysrt-pyc-1.1.2-r5.apk | 23295 | 2025-May-14 18:16 |
| py3-pysrt-1.1.2-r5.apk | 26091 | 2025-May-14 18:16 |
| py3-itunespy-pyc-1.6-r5.apk | 14947 | 2025-May-14 18:16 |
| py3-itunespy-1.6-r5.apk | 9975 | 2025-May-14 18:16 |
| py3-grequests-pyc-0.7.0-r3.apk | 5982 | 2025-May-14 18:16 |
| py3-grequests-0.7.0-r3.apk | 6885 | 2025-May-14 18:16 |
| py3-ffmpeg-pyc-0.2.0-r5.apk | 33393 | 2025-May-14 18:16 |
| py3-ffmpeg-0.2.0-r5.apk | 24198 | 2025-May-14 18:16 |
| py3-downloader-cli-pyc-0.3.4-r2.apk | 14463 | 2025-May-14 18:16 |
| py3-downloader-cli-0.3.4-r2.apk | 11440 | 2025-May-14 18:16 |
| py3-dogpile.cache-pyc-1.3.3-r1.apk | 92653 | 2025-May-14 18:16 |
| py3-dogpile.cache-1.3.3-r1.apk | 53837 | 2025-May-14 18:16 |
| downloader-cli-0.3.4-r2.apk | 2024 | 2025-May-14 18:16 |
| castero-pyc-0.9.5-r4.apk | 96229 | 2025-May-14 18:16 |
| castero-0.9.5-r4.apk | 51686 | 2025-May-14 18:16 |
| mjpg-streamer-0_git20210220-r2.apk | 182676 | 2025-May-14 15:03 |
| openconnect-sso-pyc-0.8.0_git20230822-r0.apk | 29971 | 2025-May-14 09:13 |
| openconnect-sso-0.8.0_git20230822-r0.apk | 29953 | 2025-May-14 09:13 |
| river-shifttags-doc-0.2.1-r1.apk | 2428 | 2025-May-14 00:10 |
| river-shifttags-0.2.1-r1.apk | 6151 | 2025-May-14 00:10 |
| readosm-dev-1.1.0-r3.apk | 20750 | 2025-May-14 00:10 |
| readosm-1.1.0-r3.apk | 15472 | 2025-May-14 00:10 |
| qperf-doc-0.4.11-r2.apk | 5701 | 2025-May-14 00:10 |
| qperf-0.4.11-r2.apk | 31172 | 2025-May-14 00:10 |
| py3-xlwt-pyc-1.3.0-r10.apk | 168848 | 2025-May-14 00:10 |
| py3-xlwt-1.3.0-r10.apk | 95934 | 2025-May-14 00:10 |
| py3-unoconv-0.9.0-r4.apk | 26525 | 2025-May-14 00:10 |
| py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk | 5696 | 2025-May-14 00:10 |
| py3-sphinxcontrib-slide-1.0.0-r4.apk | 5003 | 2025-May-14 00:10 |
| py3-pyte-pyc-0.8.2-r3.apk | 40124 | 2025-May-14 00:10 |
| py3-pyte-0.8.2-r3.apk | 30622 | 2025-May-14 00:10 |
| py3-flask-bootstrap-pyc-3.3.7.1-r9.apk | 11135 | 2025-May-14 00:10 |
| py3-flask-bootstrap-3.3.7.1-r9.apk | 459392 | 2025-May-14 00:10 |
| ptylie-doc-0.2-r2.apk | 3235 | 2025-May-14 00:10 |
| ptylie-0.2-r2.apk | 11237 | 2025-May-14 00:10 |
| prometheus-unbound-exporter-openrc-0.4.6-r5.apk | 2008 | 2025-May-14 00:10 |
| prometheus-unbound-exporter-0.4.6-r5.apk | 3997271 | 2025-May-14 00:10 |
| pixiewps-doc-1.4.2-r2.apk | 3484 | 2025-May-14 00:10 |
| pixiewps-1.4.2-r2.apk | 51912 | 2025-May-14 00:10 |
| piglit-0_git20241106-r1.apk | 92922524 | 2025-May-14 00:10 |
| php84-pecl-phpy-1.0.11-r1.apk | 40702 | 2025-May-14 00:10 |
| php83-pecl-phpy-1.0.11-r1.apk | 40699 | 2025-May-14 00:10 |
| php81-pecl-uuid-1.3.0-r0.apk | 6430 | 2025-May-14 00:10 |
| nsq-1.3.0-r10.apk | 27692516 | 2025-May-14 00:10 |
| muse-doc-4.2.1-r2.apk | 4311002 | 2025-May-14 00:10 |
| muse-4.2.1-r2.apk | 6388604 | 2025-May-14 00:10 |
| mmar-0.2.5-r1.apk | 2805134 | 2025-May-14 00:10 |
| mage-1.13.0-r23.apk | 1722669 | 2025-May-14 00:10 |
| hctl-0.2.7-r0.apk | 1348563 | 2025-May-14 00:10 |
| elementary-icon-theme-8.1.0-r0.apk | 5293646 | 2025-May-14 00:10 |
| elementary-feedback-lang-8.0.1-r0.apk | 47607 | 2025-May-14 00:10 |
| elementary-feedback-8.0.1-r0.apk | 46387 | 2025-May-14 00:10 |
| wine-mono-10.0.0-r0.apk | 83417514 | 2025-May-10 03:12 |
| suru-icon-theme-2025.05.0-r0.apk | 3012095 | 2025-May-10 03:12 |
| spreadtrum_flash-1.20240815-r0.apk | 36394 | 2025-May-10 03:12 |
| resources-lang-1.8.0-r1.apk | 128604 | 2025-May-10 03:12 |
| resources-1.8.0-r1.apk | 2447111 | 2025-May-10 03:12 |
| py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk | 19065 | 2025-May-10 03:12 |
| py3-sphinxcontrib-plantuml-0.30-r0.apk | 12326 | 2025-May-10 03:12 |
| py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk | 16407 | 2025-May-10 03:12 |
| py3-sphinxcontrib-mermaid-1.0.0-r0.apk | 10509 | 2025-May-10 03:12 |
| py3-kikit-pyc-1.7.2-r0.apk | 284064 | 2025-May-10 03:12 |
| py3-kikit-1.7.2-r0.apk | 244540 | 2025-May-10 03:12 |
| py3-cryptg-pyc-0.5.0-r0.apk | 1954 | 2025-May-10 03:12 |
| py3-cryptg-0.5.0-r0.apk | 182547 | 2025-May-10 03:12 |
| php81-pecl-maxminddb-1.12.1-r0.apk | 8005 | 2025-May-10 03:12 |
| php81-pecl-ds-1.6.0-r0.apk | 56803 | 2025-May-10 03:12 |
| perl-catalystx-simplelogin-doc-0.21-r0.apk | 24769 | 2025-May-10 03:12 |
| perl-catalystx-simplelogin-0.21-r0.apk | 10940 | 2025-May-10 03:12 |
| perl-catalystx-component-traits-doc-0.19-r0.apk | 4092 | 2025-May-10 03:12 |
| perl-catalystx-component-traits-0.19-r0.apk | 4423 | 2025-May-10 03:12 |
| perl-catalyst-actionrole-acl-doc-0.07-r0.apk | 5882 | 2025-May-10 03:12 |
| perl-catalyst-actionrole-acl-0.07-r0.apk | 4439 | 2025-May-10 03:12 |
| par2cmdline-turbo-doc-1.3.0-r0.apk | 6047 | 2025-May-10 03:12 |
| par2cmdline-turbo-1.3.0-r0.apk | 318294 | 2025-May-10 03:12 |
| ocaml-cpdf-2.8.1-r0.apk | 5051072 | 2025-May-10 03:12 |
| ocaml-camlpdf-2.8.1-r0.apk | 6312556 | 2025-May-10 03:12 |
| lomiri-url-dispatcher-lang-0.1.4-r0.apk | 26501 | 2025-May-10 03:12 |
| lomiri-url-dispatcher-dev-0.1.4-r0.apk | 3393 | 2025-May-10 03:12 |
| lomiri-url-dispatcher-0.1.4-r0.apk | 38953 | 2025-May-10 03:12 |
| lomiri-terminal-app-lang-2.0.5-r0.apk | 93621 | 2025-May-10 03:12 |
| lomiri-terminal-app-doc-2.0.5-r0.apk | 2727 | 2025-May-10 03:12 |
| lomiri-terminal-app-2.0.5-r0.apk | 65218 | 2025-May-10 03:12 |
| lomiri-system-settings-lang-1.3.2-r0.apk | 866385 | 2025-May-10 03:12 |
| lomiri-system-settings-1.3.2-r0.apk | 1077490 | 2025-May-10 03:12 |
| lomiri-clock-app-lang-4.1.1-r0.apk | 466946 | 2025-May-10 03:12 |
| lomiri-clock-app-4.1.1-r0.apk | 224979 | 2025-May-10 03:12 |
| libglib-testing-doc-0.1.1-r0.apk | 25619 | 2025-May-10 03:12 |
| libglib-testing-dev-0.1.1-r0.apk | 5654 | 2025-May-10 03:12 |
| libglib-testing-0.1.1-r0.apk | 12269 | 2025-May-10 03:12 |
| libcpdf-static-2.8.1-r0.apk | 3502779 | 2025-May-10 03:12 |
| libcpdf-dev-2.8.1-r0.apk | 17152 | 2025-May-10 03:12 |
| libcpdf-2.8.1-r0.apk | 2323279 | 2025-May-10 03:12 |
| cpdf-doc-2.8.1-r0.apk | 571404 | 2025-May-10 03:12 |
| cpdf-2.8.1-r0.apk | 2153844 | 2025-May-10 03:12 |
| commit-lsp-0.1.0-r0.apk | 2351188 | 2025-May-10 03:12 |
| cpu-x-zsh-completion-5.2.0-r1.apk | 2180 | 2025-May-06 10:05 |
| cpu-x-lang-5.2.0-r1.apk | 272311 | 2025-May-06 10:05 |
| cpu-x-fish-completion-5.2.0-r1.apk | 2267 | 2025-May-06 10:05 |
| cpu-x-bash-completion-5.2.0-r1.apk | 2059 | 2025-May-06 10:05 |
| cpu-x-5.2.0-r1.apk | 2233578 | 2025-May-06 10:05 |
| sonar-scanner-7.1.0.4889-r0.apk | 11214317 | 2025-May-04 23:13 |
| meli-doc-0.8.11-r0.apk | 49599 | 2025-May-04 14:15 |
| meli-0.8.11-r0.apk | 4967578 | 2025-May-04 14:15 |
| py3-b2sdk-pyc-2.8.1-r0.apk | 412300 | 2025-May-03 10:05 |
| py3-b2sdk-2.8.1-r0.apk | 220073 | 2025-May-03 10:05 |
| lcalc-libs-2.1.0-r0.apk | 224069 | 2025-May-03 10:05 |
| lcalc-doc-2.1.0-r0.apk | 447254 | 2025-May-03 10:05 |
| lcalc-dev-2.1.0-r0.apk | 52597 | 2025-May-03 10:05 |
| lcalc-2.1.0-r0.apk | 210043 | 2025-May-03 10:05 |
| b2-tools-pyc-4.3.2-r0.apk | 139144 | 2025-May-03 10:05 |
| b2-tools-4.3.2-r0.apk | 74221 | 2025-May-03 10:05 |
| nicotine-plus-pyc-3.3.10-r0.apk | 807790 | 2025-May-01 18:06 |
| nicotine-plus-lang-3.3.10-r0.apk | 775214 | 2025-May-01 18:06 |
| nicotine-plus-doc-3.3.10-r0.apk | 2642 | 2025-May-01 18:06 |
| nicotine-plus-3.3.10-r0.apk | 1634618 | 2025-May-01 18:06 |
| perl-moosex-traits-pluggable-doc-0.12-r0.apk | 4307 | 2025-May-01 06:46 |
| perl-moosex-traits-pluggable-0.12-r0.apk | 5065 | 2025-May-01 06:46 |
| perl-moosex-relatedclassroles-doc-0.004-r0.apk | 3424 | 2025-May-01 06:46 |
| perl-moosex-relatedclassroles-0.004-r0.apk | 2864 | 2025-May-01 06:46 |
| perl-html-formhandler-doc-0.40068-r0.apk | 330984 | 2025-May-01 06:46 |
| perl-html-formhandler-0.40068-r0.apk | 138716 | 2025-May-01 06:46 |
| perl-catalystx-injectcomponent-doc-0.025-r0.apk | 3672 | 2025-May-01 06:46 |
| perl-catalystx-injectcomponent-0.025-r0.apk | 3498 | 2025-May-01 06:46 |
| ol-doc-2.6-r0.apk | 2647 | 2025-May-01 06:30 |
| ol-dev-2.6-r0.apk | 16216 | 2025-May-01 06:30 |
| ol-2.6-r0.apk | 1066699 | 2025-May-01 06:30 |
| perl-moosex-types-loadableclass-doc-0.016-r0.apk | 3834 | 2025-Apr-30 22:48 |
| perl-moosex-types-loadableclass-0.016-r0.apk | 3300 | 2025-Apr-30 22:48 |
| emacs-total-recall-examples-0_git20250426-r0.apk | 14263 | 2025-Apr-30 22:48 |
| emacs-total-recall-0_git20250426-r0.apk | 18021 | 2025-Apr-30 22:48 |
| nvtop-doc-3.2.0-r0.apk | 3614 | 2025-Apr-29 23:39 |
| nvtop-3.2.0-r0.apk | 63568 | 2025-Apr-29 23:39 |
| flamelens-doc-0.3.1-r0.apk | 3748 | 2025-Apr-29 07:46 |
| flamelens-0.3.1-r0.apk | 1459447 | 2025-Apr-29 07:46 |
| jedi-language-server-pyc-0.45.1-r0.apk | 50937 | 2025-Apr-28 22:57 |
| jedi-language-server-0.45.1-r0.apk | 32509 | 2025-Apr-28 22:57 |
| libstirshaken-tools-0_git20240208-r4.apk | 160646 | 2025-Apr-28 19:57 |
| libstirshaken-dev-0_git20240208-r4.apk | 80240 | 2025-Apr-28 19:57 |
| libstirshaken-0_git20240208-r4.apk | 53405 | 2025-Apr-28 19:57 |
| perl-catalystx-repl-doc-0.04-r0.apk | 3708 | 2025-Apr-27 15:07 |
| perl-catalystx-repl-0.04-r0.apk | 3383 | 2025-Apr-27 15:07 |
| perl-carp-repl-doc-0.18-r0.apk | 6009 | 2025-Apr-26 17:12 |
| perl-carp-repl-0.18-r0.apk | 6097 | 2025-Apr-26 17:12 |
| perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk | 3507 | 2025-Apr-26 10:21 |
| perl-devel-stacktrace-withlexicals-2.01-r0.apk | 3746 | 2025-Apr-26 10:21 |
| perl-lexical-persistence-doc-1.023-r0.apk | 7751 | 2025-Apr-26 08:13 |
| perl-lexical-persistence-1.023-r0.apk | 7691 | 2025-Apr-26 08:13 |
| py3-ovos-bus-client-pyc-1.3.4-r0.apk | 91142 | 2025-Apr-25 10:49 |
| py3-ovos-bus-client-1.3.4-r0.apk | 51157 | 2025-Apr-25 10:49 |
| perl-devel-repl-doc-1.003029-r0.apk | 61592 | 2025-Apr-24 14:50 |
| perl-devel-repl-1.003029-r0.apk | 29025 | 2025-Apr-24 14:50 |
| typobuster-1.0.0-r0.apk | 132459 | 2025-Apr-23 22:26 |
| sshs-4.7.2-r0.apk | 736259 | 2025-Apr-23 22:26 |
| emacs-taxy-magit-section-0.14.3-r0.apk | 18112 | 2025-Apr-23 05:42 |
| emacs-taxy-0.10.2-r0.apk | 11741 | 2025-Apr-23 05:42 |
| emacs-embark-consult-1.1-r0.apk | 10662 | 2025-Apr-23 05:42 |
| emacs-embark-1.1-r0.apk | 113561 | 2025-Apr-23 05:42 |
| emacs-avy-embark-collect-1.1-r0.apk | 3916 | 2025-Apr-23 05:42 |
| emacs-ement-0.16-r0.apk | 297684 | 2025-Apr-23 05:11 |
| emacs-elfeed-3.4.2-r0.apk | 92731 | 2025-Apr-23 05:11 |
| php84-pecl-solr-2.8.1-r0.apk | 89632 | 2025-Apr-23 04:36 |
| py3-remind-pyc-0.19.2-r0.apk | 23063 | 2025-Apr-22 17:48 |
| py3-remind-0.19.2-r0.apk | 25019 | 2025-Apr-22 17:48 |
| symlinks-doc-1.4.3-r0.apk | 3942 | 2025-Apr-21 21:27 |
| symlinks-1.4.3-r0.apk | 5940 | 2025-Apr-21 21:27 |
| perl-test-expect-doc-0.34-r0.apk | 3658 | 2025-Apr-21 17:35 |
| perl-test-expect-0.34-r0.apk | 3550 | 2025-Apr-21 17:35 |
| perl-moosex-object-pluggable-doc-0.0014-r0.apk | 5629 | 2025-Apr-21 17:33 |
| perl-moosex-object-pluggable-0.0014-r0.apk | 6123 | 2025-Apr-21 17:33 |
| perl-expect-simple-doc-0.04-r0.apk | 5001 | 2025-Apr-20 04:38 |
| perl-expect-simple-0.04-r0.apk | 5621 | 2025-Apr-20 04:38 |
| perl-test-www-mechanize-catalyst-doc-0.62-r0.apk | 6373 | 2025-Apr-20 04:32 |
| perl-test-www-mechanize-catalyst-0.62-r0.apk | 7532 | 2025-Apr-20 04:32 |
| perl-catalyst-plugin-session-state-cookie-doc-0...> | 4965 | 2025-Apr-20 04:32 |
| perl-catalyst-plugin-session-state-cookie-0.18-r..> | 4970 | 2025-Apr-20 04:32 |
| perl-expect-doc-1.38-r0.apk | 20781 | 2025-Apr-19 19:02 |
| perl-expect-1.38-r0.apk | 33222 | 2025-Apr-19 19:02 |
| perl-test-file-doc-1.995-r0.apk | 6957 | 2025-Apr-19 17:54 |
| perl-test-file-1.995-r0.apk | 11684 | 2025-Apr-19 17:54 |
| way-secure-doc-0.2.0-r0.apk | 3103 | 2025-Apr-18 22:14 |
| way-secure-0.2.0-r0.apk | 200963 | 2025-Apr-18 22:14 |
| py3-plotly-5.24.1-r2.apk | 21485084 | 2025-Apr-18 22:14 |
| powerctl-doc-1.1-r6.apk | 3282 | 2025-Apr-18 16:34 |
| powerctl-1.1-r6.apk | 92605 | 2025-Apr-18 16:34 |
| py3-pysonic-pyc-1.0.3-r0.apk | 33006 | 2025-Apr-16 22:35 |
| py3-pysonic-1.0.3-r0.apk | 35593 | 2025-Apr-16 22:35 |
| yarn-berry-4.9.1-r0.apk | 1064070 | 2025-Apr-15 22:37 |
| zita-resampler-doc-1.11.2-r0.apk | 4138 | 2025-Apr-14 21:16 |
| zita-resampler-dev-1.11.2-r0.apk | 3334 | 2025-Apr-14 21:16 |
| zita-resampler-1.11.2-r0.apk | 18726 | 2025-Apr-14 21:16 |
| capnet-assist-lang-8.0.0-r0.apk | 38141 | 2025-Apr-14 10:10 |
| capnet-assist-8.0.0-r0.apk | 44574 | 2025-Apr-14 10:10 |
| fuseiso-doc-20070708-r0.apk | 2646 | 2025-Apr-14 01:40 |
| fuseiso-20070708-r0.apk | 15599 | 2025-Apr-14 01:40 |
| zapzap-pyc-6.0.1.8-r0.apk | 128963 | 2025-Apr-13 23:48 |
| zapzap-6.0.1.8-r0.apk | 111429 | 2025-Apr-13 23:48 |
| py3-ecbdata-0.1.1-r0.apk | 13793 | 2025-Apr-13 23:31 |
| cpplint-pyc-2.0.2-r0.apk | 101877 | 2025-Apr-13 23:26 |
| cpplint-2.0.2-r0.apk | 82222 | 2025-Apr-13 23:26 |
| dprint-zsh-completion-0.49.1-r0.apk | 4236 | 2025-Apr-13 22:35 |
| dprint-fish-completion-0.49.1-r0.apk | 3868 | 2025-Apr-13 22:35 |
| dprint-doc-0.49.1-r0.apk | 3267 | 2025-Apr-13 22:35 |
| dprint-bash-completion-0.49.1-r0.apk | 3317 | 2025-Apr-13 22:35 |
| dprint-0.49.1-r0.apk | 4329979 | 2025-Apr-13 22:35 |
| libresprite-doc-1.2-r0.apk | 15075 | 2025-Apr-13 22:15 |
| libresprite-1.2-r0.apk | 15620212 | 2025-Apr-13 22:15 |
| lomiri-content-hub-lang-2.1.0-r0.apk | 47772 | 2025-Apr-13 22:06 |
| lomiri-content-hub-doc-2.1.0-r0.apk | 1448549 | 2025-Apr-13 22:06 |
| lomiri-content-hub-dev-2.1.0-r0.apk | 11482 | 2025-Apr-13 22:06 |
| lomiri-content-hub-2.1.0-r0.apk | 282977 | 2025-Apr-13 22:06 |
| sqruff-doc-0.25.26-r0.apk | 8851 | 2025-Apr-13 21:40 |
| sqruff-0.25.26-r0.apk | 2340127 | 2025-Apr-13 21:40 |
| usbtop-1.0-r0.apk | 13272 | 2025-Apr-13 21:32 |
| supersonik-0.1.0-r2.apk | 1101302 | 2025-Apr-13 21:32 |
| py3-slidge-style-parser-pyc-0.1.9-r0.apk | 2015 | 2025-Apr-13 21:31 |
| py3-slidge-style-parser-0.1.9-r0.apk | 214171 | 2025-Apr-13 21:31 |
| py3-doi-pyc-0.2-r0.apk | 4855 | 2025-Apr-13 21:31 |
| py3-doi-0.2-r0.apk | 6314 | 2025-Apr-13 21:31 |
| py3-dash-bootstrap-components-1.6.0-r0.apk | 16883 | 2025-Apr-13 21:31 |
| py3-dash-2.18.2-r0.apk | 7792566 | 2025-Apr-13 21:31 |
| perl-test-www-mechanize-doc-1.60-r0.apk | 10324 | 2025-Apr-13 21:31 |
| perl-test-www-mechanize-1.60-r0.apk | 15167 | 2025-Apr-13 21:31 |
| perl-template-timer-doc-1.00-r0.apk | 3743 | 2025-Apr-13 21:31 |
| perl-template-timer-1.00-r0.apk | 3506 | 2025-Apr-13 21:31 |
| perl-catalyst-view-tt-doc-0.46-r0.apk | 13001 | 2025-Apr-13 21:31 |
| perl-catalyst-view-tt-0.46-r0.apk | 13942 | 2025-Apr-13 21:31 |
| perl-catalyst-model-adaptor-doc-0.10-r0.apk | 12402 | 2025-Apr-13 21:31 |
| perl-catalyst-model-adaptor-0.10-r0.apk | 6641 | 2025-Apr-13 21:31 |
| perl-carp-assert-more-doc-2.9.0-r0.apk | 7977 | 2025-Apr-13 21:31 |
| perl-carp-assert-more-2.9.0-r0.apk | 9184 | 2025-Apr-13 21:31 |
| nfoview-doc-2.1-r0.apk | 8196 | 2025-Apr-13 21:31 |
| nfoview-2.1-r0.apk | 39601 | 2025-Apr-13 21:31 |
| font-aref-ruqaa-1.006-r0.apk | 365881 | 2025-Apr-13 21:31 |
| emacs-lsp-booster-doc-0.2.1-r0.apk | 2323 | 2025-Apr-13 21:31 |
| emacs-lsp-booster-0.2.1-r0.apk | 420056 | 2025-Apr-13 21:31 |
| lomiri-ui-extras-lang-0.7.0-r0.apk | 52856 | 2025-Apr-11 05:47 |
| lomiri-ui-extras-0.7.0-r0.apk | 256940 | 2025-Apr-11 05:47 |
| srb2-data-2.2.15-r1.apk | 167342802 | 2025-Apr-10 21:03 |
| srb2-2.2.15-r1.apk | 1906408 | 2025-Apr-10 21:03 |
| libretro-ppsspp-0_git20210516-r15.apk | 2415207 | 2025-Apr-10 21:03 |
| libretro-flycast-0_git20220406-r3.apk | 1593894 | 2025-Apr-10 21:03 |
| decoder-lang-0.7.0-r0.apk | 60379 | 2025-Apr-10 14:09 |
| decoder-0.7.0-r0.apk | 2040974 | 2025-Apr-10 14:09 |
| solanum-lang-6.0.0-r0.apk | 48458 | 2025-Apr-10 13:52 |
| solanum-6.0.0-r0.apk | 254919 | 2025-Apr-10 13:52 |
| php84-snappy-0.2.3-r0.apk | 5132 | 2025-Apr-10 12:16 |
| php82-snappy-0.2.3-r0.apk | 5128 | 2025-Apr-10 12:16 |
| orage-lang-4.20.1-r0.apk | 1265931 | 2025-Apr-08 11:51 |
| orage-4.20.1-r0.apk | 593503 | 2025-Apr-08 11:51 |
| ovos-messagebus-pyc-0.0.10-r0.apk | 6811 | 2025-Apr-08 09:13 |
| ovos-messagebus-0.0.10-r0.apk | 10188 | 2025-Apr-08 09:13 |
| gnome-latex-lang-3.48.0-r0.apk | 542982 | 2025-Apr-07 22:01 |
| gnome-latex-doc-3.48.0-r0.apk | 110600 | 2025-Apr-07 22:01 |
| gnome-latex-3.48.0-r0.apk | 372239 | 2025-Apr-07 22:01 |
| welle-io-doc-2.7-r0.apk | 4074 | 2025-Apr-07 19:40 |
| welle-io-2.7-r0.apk | 410717 | 2025-Apr-07 19:40 |
| welle-cli-2.7-r0.apk | 312397 | 2025-Apr-07 19:40 |
| gambit-doc-4.9.5-r1.apk | 4438 | 2025-Apr-07 08:30 |
| gambit-dev-4.9.5-r1.apk | 6985279 | 2025-Apr-07 08:30 |
| gambit-4.9.5-r1.apk | 10890002 | 2025-Apr-07 08:30 |
| wabt-doc-1.0.37-r0.apk | 13740 | 2025-Apr-06 21:41 |
| wabt-1.0.37-r0.apk | 4213847 | 2025-Apr-06 21:41 |
| ircd-hybrid-doc-8.2.47-r0.apk | 3699 | 2025-Apr-05 03:59 |
| ircd-hybrid-8.2.47-r0.apk | 340154 | 2025-Apr-05 03:59 |
| perl-object-signature-doc-1.08-r0.apk | 5540 | 2025-Apr-04 11:56 |
| perl-object-signature-1.08-r0.apk | 3764 | 2025-Apr-04 11:56 |
| perl-catalyst-plugin-session-doc-0.43-r0.apk | 25742 | 2025-Apr-04 11:56 |
| perl-catalyst-plugin-session-0.43-r0.apk | 14778 | 2025-Apr-04 11:56 |
| perl-email-sender-doc-2.601-r0.apk | 43103 | 2025-Apr-04 11:29 |
| perl-email-sender-2.601-r0.apk | 25257 | 2025-Apr-04 11:29 |
| perl-catalyst-view-email-doc-0.36-r0.apk | 11017 | 2025-Apr-04 11:29 |
| perl-catalyst-view-email-0.36-r0.apk | 9297 | 2025-Apr-04 11:29 |
| perl-catalyst-plugin-i18n-doc-0.10-r0.apk | 13599 | 2025-Apr-04 11:29 |
| perl-catalyst-plugin-i18n-0.10-r0.apk | 4225 | 2025-Apr-04 11:29 |
| perl-catalyst-manual-doc-5.9013-r0.apk | 384132 | 2025-Apr-04 06:07 |
| perl-catalyst-manual-5.9013-r0.apk | 2751 | 2025-Apr-04 06:07 |
| perl-catalyst-controller-actionrole-doc-0.17-r0...> | 4550 | 2025-Apr-04 06:07 |
| perl-catalyst-controller-actionrole-0.17-r0.apk | 5104 | 2025-Apr-04 06:07 |
| perl-catalyst-authentication-credential-http-doc..> | 6919 | 2025-Apr-04 06:07 |
| perl-catalyst-authentication-credential-http-1.0..> | 8817 | 2025-Apr-04 06:07 |
| identme-0.6.0-r0.apk | 47404 | 2025-Apr-03 12:33 |
| tauri-cli-2.4.0-r0.apk | 7635005 | 2025-Apr-03 00:12 |
| py3-hfst-3.16.2-r0.apk | 366924 | 2025-Apr-03 00:11 |
| perl-string-escape-doc-2010.002-r0.apk | 8021 | 2025-Apr-03 00:11 |
| perl-string-escape-2010.002-r0.apk | 9085 | 2025-Apr-03 00:11 |
| perl-catalyst-plugin-authentication-doc-0.10024-..> | 57244 | 2025-Apr-03 00:11 |
| perl-catalyst-plugin-authentication-0.10024-r0.apk | 32993 | 2025-Apr-03 00:11 |
| perl-catalyst-action-rest-doc-1.21-r0.apk | 27721 | 2025-Apr-03 00:11 |
| perl-catalyst-action-rest-1.21-r0.apk | 26008 | 2025-Apr-03 00:11 |
| kotlin-language-server-1.3.13-r0.apk | 87303190 | 2025-Apr-03 00:11 |
| hfst-libs-3.16.2-r0.apk | 1997907 | 2025-Apr-03 00:11 |
| hfst-doc-3.16.2-r0.apk | 71336 | 2025-Apr-03 00:11 |
| hfst-dev-3.16.2-r0.apk | 214002 | 2025-Apr-03 00:11 |
| hfst-3.16.2-r0.apk | 1463286 | 2025-Apr-03 00:11 |
| dum-0.1.20-r1.apk | 355089 | 2025-Apr-03 00:11 |
| brltty-static-6.7-r1.apk | 24332 | 2025-Apr-03 00:11 |
| brltty-lang-6.7-r1.apk | 152256 | 2025-Apr-03 00:11 |
| brltty-doc-6.7-r1.apk | 9615 | 2025-Apr-03 00:11 |
| brltty-dev-6.7-r1.apk | 143449 | 2025-Apr-03 00:11 |
| brltty-6.7-r1.apk | 2222289 | 2025-Apr-03 00:11 |
| php81-pecl-timezonedb-2025.2-r0.apk | 193800 | 2025-Mar-27 11:06 |
| gpscorrelate-lang-2.3-r0.apk | 17806 | 2025-Mar-27 06:36 |
| gpscorrelate-doc-2.3-r0.apk | 291796 | 2025-Mar-27 06:36 |
| gpscorrelate-cli-2.3-r0.apk | 26764 | 2025-Mar-27 06:36 |
| gpscorrelate-2.3-r0.apk | 51795 | 2025-Mar-27 06:36 |
| cherrytree-lang-1.4.0-r0.apk | 879164 | 2025-Mar-26 17:40 |
| cherrytree-doc-1.4.0-r0.apk | 2154 | 2025-Mar-26 17:40 |
| cherrytree-1.4.0-r0.apk | 2850974 | 2025-Mar-26 17:40 |
| taskwarrior-tui-fish-completion-0.26.3-r0.apk | 1777 | 2025-Mar-26 10:24 |
| taskwarrior-tui-doc-0.26.3-r0.apk | 4038 | 2025-Mar-26 10:24 |
| taskwarrior-tui-bash-completion-0.26.3-r0.apk | 2030 | 2025-Mar-26 10:24 |
| taskwarrior-tui-0.26.3-r0.apk | 1233924 | 2025-Mar-26 10:24 |
| cbqn-0.9.0-r0.apk | 671365 | 2025-Mar-25 15:33 |
| leptosfmt-doc-0.1.33-r0.apk | 6188 | 2025-Mar-25 15:30 |
| leptosfmt-0.1.33-r0.apk | 1078365 | 2025-Mar-25 15:30 |
| trigger-rally-doc-0.6.7-r3.apk | 28810 | 2025-Mar-25 15:13 |
| trigger-rally-data-0.6.7-r3.apk | 369090777 | 2025-Mar-25 15:13 |
| trigger-rally-0.6.7-r3.apk | 309368 | 2025-Mar-25 15:13 |
| lgogdownloader-doc-3.16-r1.apk | 8713 | 2025-Mar-25 15:13 |
| lgogdownloader-3.16-r1.apk | 367089 | 2025-Mar-25 15:13 |
| pop-icon-theme-3.5.1-r0.apk | 1333955 | 2025-Mar-25 07:55 |
| pop-cursor-theme-3.5.1-r0.apk | 13437927 | 2025-Mar-25 07:55 |
| sdl3_image-doc-3.2.4-r0.apk | 2112 | 2025-Mar-24 20:49 |
| sdl3_image-dev-3.2.4-r0.apk | 12343 | 2025-Mar-24 20:49 |
| sdl3_image-3.2.4-r0.apk | 76883 | 2025-Mar-24 20:49 |
| efl-gdb-1.28.1-r2.apk | 1731 | 2025-Mar-24 04:33 |
| efl-dev-1.28.1-r2.apk | 1908943 | 2025-Mar-24 04:33 |
| efl-1.28.1-r2.apk | 35442408 | 2025-Mar-24 04:33 |
| perl-uri-find-doc-20160806-r0.apk | 9341 | 2025-Mar-23 07:58 |
| perl-uri-find-20160806-r0.apk | 13946 | 2025-Mar-23 07:58 |
| haxe-doc-4.3.3-r1.apk | 7934 | 2025-Mar-22 14:35 |
| haxe-4.3.3-r1.apk | 10555647 | 2025-Mar-22 14:35 |
| tanidvr-dhav2mkv-1.4.1-r2.apk | 10812 | 2025-Mar-21 16:21 |
| tanidvr-1.4.1-r2.apk | 21615 | 2025-Mar-21 16:21 |
| sentrypeer-doc-4.0.4-r0.apk | 3365 | 2025-Mar-21 16:21 |
| sentrypeer-4.0.4-r0.apk | 1946950 | 2025-Mar-21 16:21 |
| repo-doc-2.53-r0.apk | 39381 | 2025-Mar-21 16:21 |
| repo-2.53-r0.apk | 17185 | 2025-Mar-21 16:21 |
| py3-mistletoe-pyc-1.4.0-r0.apk | 95235 | 2025-Mar-21 16:21 |
| py3-mistletoe-1.4.0-r0.apk | 45633 | 2025-Mar-21 16:21 |
| py3-agithub-pyc-2.2.2-r7.apk | 21795 | 2025-Mar-21 16:21 |
| py3-agithub-2.2.2-r7.apk | 19297 | 2025-Mar-21 16:21 |
| perl-uri-ws-doc-0.03-r0.apk | 4430 | 2025-Mar-21 16:21 |
| perl-uri-ws-0.03-r0.apk | 2396 | 2025-Mar-21 16:21 |
| perl-tree-simple-visitorfactory-doc-0.16-r0.apk | 47287 | 2025-Mar-21 16:21 |
| perl-tree-simple-visitorfactory-0.16-r0.apk | 20387 | 2025-Mar-21 16:21 |
| perl-tie-toobject-doc-0.03-r0.apk | 3243 | 2025-Mar-21 16:21 |
| perl-tie-toobject-0.03-r0.apk | 2665 | 2025-Mar-21 16:21 |
| perl-text-simpletable-doc-2.07-r0.apk | 3535 | 2025-Mar-21 16:21 |
| perl-text-simpletable-2.07-r0.apk | 4568 | 2025-Mar-21 16:21 |
| perl-plack-test-externalserver-doc-0.02-r0.apk | 3204 | 2025-Mar-21 16:21 |
| perl-plack-test-externalserver-0.02-r0.apk | 2878 | 2025-Mar-21 16:21 |
| perl-plack-middleware-methodoverride-doc-0.20-r0..> | 4142 | 2025-Mar-21 16:21 |
| perl-plack-middleware-methodoverride-0.20-r0.apk | 3725 | 2025-Mar-21 16:21 |
| perl-moosex-methodattributes-doc-0.32-r0.apk | 22584 | 2025-Mar-21 16:21 |
| perl-moosex-methodattributes-0.32-r0.apk | 8764 | 2025-Mar-21 16:21 |
| perl-mojolicious-plugin-openapi-doc-5.11-r0.apk | 34265 | 2025-Mar-21 16:21 |
| perl-mojolicious-plugin-openapi-5.11-r0.apk | 29046 | 2025-Mar-21 16:21 |
| perl-json-validator-doc-5.15-r0.apk | 34631 | 2025-Mar-21 16:21 |
| perl-json-validator-5.15-r0.apk | 59550 | 2025-Mar-21 16:21 |
| perl-file-changenotify-doc-0.31-r0.apk | 14344 | 2025-Mar-21 16:21 |
| perl-file-changenotify-0.31-r0.apk | 12397 | 2025-Mar-21 16:21 |
| perl-data-visitor-doc-0.32-r0.apk | 8510 | 2025-Mar-21 16:21 |
| perl-data-visitor-0.32-r0.apk | 10008 | 2025-Mar-21 16:21 |
| perl-color-rgb-util-doc-0.609-r0.apk | 7536 | 2025-Mar-21 16:21 |
| perl-color-rgb-util-0.609-r0.apk | 9684 | 2025-Mar-21 16:21 |
| perl-catalyst-runtime-doc-5.90132-r0.apk | 221534 | 2025-Mar-21 16:21 |
| perl-catalyst-runtime-5.90132-r0.apk | 153282 | 2025-Mar-21 16:21 |
| perl-catalyst-plugin-static-simple-doc-0.37-r0.apk | 7587 | 2025-Mar-21 16:21 |
| perl-catalyst-plugin-static-simple-0.37-r0.apk | 8903 | 2025-Mar-21 16:21 |
| perl-catalyst-plugin-configloader-doc-0.35-r0.apk | 10564 | 2025-Mar-21 16:21 |
| perl-catalyst-plugin-configloader-0.35-r0.apk | 5514 | 2025-Mar-21 16:21 |
| perl-catalyst-devel-doc-1.42-r0.apk | 11657 | 2025-Mar-21 16:21 |
| perl-catalyst-devel-1.42-r0.apk | 55368 | 2025-Mar-21 16:21 |
| perl-catalyst-action-renderview-doc-0.17-r0.apk | 4140 | 2025-Mar-21 16:21 |
| perl-catalyst-action-renderview-0.17-r0.apk | 3890 | 2025-Mar-21 16:21 |
| hpnssh-doc-18.6.2-r0.apk | 101533 | 2025-Mar-21 16:21 |
| hpnssh-18.6.2-r0.apk | 2523710 | 2025-Mar-21 16:21 |
| ddcci-driver-linux-src-0.4.5-r2.apk | 19575 | 2025-Mar-21 16:20 |
| cataclysm-dda-tiles-0h-r0.apk | 51308267 | 2025-Mar-21 16:20 |
| cataclysm-dda-lang-0h-r0.apk | 39385968 | 2025-Mar-21 16:20 |
| cataclysm-dda-doc-0h-r0.apk | 4758 | 2025-Mar-21 16:20 |
| cataclysm-dda-curses-0h-r0.apk | 12335947 | 2025-Mar-21 16:20 |
| cataclysm-dda-0h-r0.apk | 20347660 | 2025-Mar-21 16:20 |
| ampy-pyc-1.1.0-r6.apk | 19916 | 2025-Mar-21 16:20 |
| ampy-doc-1.1.0-r6.apk | 4196 | 2025-Mar-21 16:20 |
| ampy-1.1.0-r6.apk | 15781 | 2025-Mar-21 16:20 |
| perl-moosex-simpleconfig-doc-0.11-r0.apk | 4258 | 2025-Mar-16 04:03 |
| perl-moosex-simpleconfig-0.11-r0.apk | 3880 | 2025-Mar-16 04:03 |
| perl-moosex-getopt-doc-0.78-r0.apk | 25313 | 2025-Mar-16 04:03 |
| perl-moosex-getopt-0.78-r0.apk | 13950 | 2025-Mar-16 04:03 |
| perl-moosex-emulate-class-accessor-fast-doc-0.00..> | 5471 | 2025-Mar-16 04:03 |
| perl-moosex-emulate-class-accessor-fast-0.009032..> | 5565 | 2025-Mar-16 04:03 |
| perl-moosex-configfromfile-doc-0.14-r0.apk | 4253 | 2025-Mar-16 04:03 |
| perl-moosex-configfromfile-0.14-r0.apk | 4186 | 2025-Mar-16 04:03 |
| perl-moosex-types-stringlike-doc-0.003-r0.apk | 3667 | 2025-Mar-15 14:08 |
| perl-moosex-types-stringlike-0.003-r0.apk | 3120 | 2025-Mar-15 14:08 |
| perl-moosex-types-path-tiny-doc-0.012-r0.apk | 4214 | 2025-Mar-15 14:08 |
| perl-moosex-types-path-tiny-0.012-r0.apk | 4102 | 2025-Mar-15 14:08 |
| perl-moosex-role-parameterized-doc-1.11-r0.apk | 24066 | 2025-Mar-15 14:08 |
| perl-moosex-role-parameterized-1.11-r0.apk | 7912 | 2025-Mar-15 14:08 |
| perl-class-c3-adopt-next-doc-0.14-r0.apk | 4787 | 2025-Mar-14 17:12 |
| perl-class-c3-adopt-next-0.14-r0.apk | 5201 | 2025-Mar-14 17:12 |
| perl-cgi-struct-doc-1.21-r0.apk | 6941 | 2025-Mar-14 17:12 |
| perl-cgi-struct-1.21-r0.apk | 8020 | 2025-Mar-14 17:12 |
| lldap-0.6.1-r0.apk | 10424770 | 2025-Mar-14 17:12 |
| gsettings-qt-dev-1.0.0-r0.apk | 3554 | 2025-Mar-14 17:12 |
| gsettings-qt-1.0.0-r0.apk | 27804 | 2025-Mar-14 17:12 |
| zed-0.138.4-r5.apk | 34785686 | 2025-Mar-13 23:56 |
| yoshimi-doc-2.3.3.3-r0.apk | 4740671 | 2025-Mar-13 22:33 |
| yoshimi-2.3.3.3-r0.apk | 6406143 | 2025-Mar-13 22:33 |
| simp1e-cursors-zenburn-left-0_git20250312-r0.apk | 553114 | 2025-Mar-13 12:27 |
| simp1e-cursors-zenburn-0_git20250312-r0.apk | 541961 | 2025-Mar-13 12:27 |
| simp1e-cursors-tokyo-night-storm-left-0_git20250..> | 558731 | 2025-Mar-13 12:27 |
| simp1e-cursors-tokyo-night-storm-0_git20250312-r..> | 546742 | 2025-Mar-13 12:27 |
| simp1e-cursors-tokyo-night-light-left-0_git20250..> | 539544 | 2025-Mar-13 12:27 |
| simp1e-cursors-tokyo-night-light-0_git20250312-r..> | 532316 | 2025-Mar-13 12:27 |
| simp1e-cursors-tokyo-night-left-0_git20250312-r0..> | 553934 | 2025-Mar-13 12:27 |
| simp1e-cursors-tokyo-night-0_git20250312-r0.apk | 542633 | 2025-Mar-13 12:27 |
| simp1e-cursors-solarized-light-left-0_git2025031..> | 555052 | 2025-Mar-13 12:27 |
| simp1e-cursors-solarized-light-0_git20250312-r0...> | 543815 | 2025-Mar-13 12:27 |
| simp1e-cursors-solarized-dark-left-0_git20250312..> | 547850 | 2025-Mar-13 12:27 |
| simp1e-cursors-solarized-dark-0_git20250312-r0.apk | 536263 | 2025-Mar-13 12:27 |
| simp1e-cursors-rose-pine-moon-left-0_git20250312..> | 563389 | 2025-Mar-13 12:27 |
| simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk | 550801 | 2025-Mar-13 12:27 |
| simp1e-cursors-rose-pine-left-0_git20250312-r0.apk | 558212 | 2025-Mar-13 12:27 |
| simp1e-cursors-rose-pine-dawn-left-0_git20250312..> | 563808 | 2025-Mar-13 12:27 |
| simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk | 551324 | 2025-Mar-13 12:27 |
| simp1e-cursors-rose-pine-0_git20250312-r0.apk | 546289 | 2025-Mar-13 12:27 |
| simp1e-cursors-nord-light-left-0_git20250312-r0...> | 537277 | 2025-Mar-13 12:27 |
| simp1e-cursors-nord-light-0_git20250312-r0.apk | 530308 | 2025-Mar-13 12:27 |
| simp1e-cursors-nord-dark-left-0_git20250312-r0.apk | 561721 | 2025-Mar-13 12:27 |
| simp1e-cursors-nord-dark-0_git20250312-r0.apk | 548479 | 2025-Mar-13 12:27 |
| simp1e-cursors-mix-light-left-0_git20250312-r0.apk | 462264 | 2025-Mar-13 12:27 |
| simp1e-cursors-mix-light-0_git20250312-r0.apk | 460332 | 2025-Mar-13 12:27 |
| simp1e-cursors-mix-dark-left-0_git20250312-r0.apk | 503995 | 2025-Mar-13 12:27 |
| simp1e-cursors-mix-dark-0_git20250312-r0.apk | 498412 | 2025-Mar-13 12:27 |
| simp1e-cursors-left-0_git20250312-r0.apk | 459267 | 2025-Mar-13 12:27 |
| simp1e-cursors-gruvbox-light-left-0_git20250312-..> | 539240 | 2025-Mar-13 12:27 |
| simp1e-cursors-gruvbox-light-0_git20250312-r0.apk | 529524 | 2025-Mar-13 12:27 |
| simp1e-cursors-gruvbox-dark-left-0_git20250312-r..> | 549840 | 2025-Mar-13 12:27 |
| simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk | 537585 | 2025-Mar-13 12:27 |
| simp1e-cursors-doc-0_git20250312-r0.apk | 14163 | 2025-Mar-13 12:27 |
| simp1e-cursors-dark-left-0_git20250312-r0.apk | 501310 | 2025-Mar-13 12:27 |
| simp1e-cursors-dark-0_git20250312-r0.apk | 495530 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-mocha-left-0_git202503..> | 552888 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-mocha-0_git20250312-r0..> | 542606 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-macchiato-left-0_git20..> | 557504 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-macchiato-0_git2025031..> | 545435 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-latte-left-0_git202503..> | 550236 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-latte-0_git20250312-r0..> | 540221 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-frappe-left-0_git20250..> | 560595 | 2025-Mar-13 12:27 |
| simp1e-cursors-catppuccin-frappe-0_git20250312-r..> | 547863 | 2025-Mar-13 12:27 |
| simp1e-cursors-breeze-left-0_git20250312-r0.apk | 521534 | 2025-Mar-13 12:27 |
| simp1e-cursors-breeze-dark-left-0_git20250312-r0..> | 538943 | 2025-Mar-13 12:27 |
| simp1e-cursors-breeze-dark-0_git20250312-r0.apk | 532449 | 2025-Mar-13 12:27 |
| simp1e-cursors-breeze-0_git20250312-r0.apk | 516343 | 2025-Mar-13 12:27 |
| simp1e-cursors-adw-left-0_git20250312-r0.apk | 494601 | 2025-Mar-13 12:27 |
| simp1e-cursors-adw-dark-left-0_git20250312-r0.apk | 496613 | 2025-Mar-13 12:27 |
| simp1e-cursors-adw-dark-0_git20250312-r0.apk | 493561 | 2025-Mar-13 12:27 |
| simp1e-cursors-adw-0_git20250312-r0.apk | 489266 | 2025-Mar-13 12:27 |
| simp1e-cursors-0_git20250312-r0.apk | 457398 | 2025-Mar-13 12:27 |
| zvbi-doc-0.2.44-r0.apk | 21555 | 2025-Mar-12 01:07 |
| zvbi-0.2.44-r0.apk | 174507 | 2025-Mar-12 01:07 |
| libzvbi-static-0.2.44-r0.apk | 286384 | 2025-Mar-12 01:07 |
| libzvbi-dev-0.2.44-r0.apk | 14651 | 2025-Mar-12 01:07 |
| libzvbi-0.2.44-r0.apk | 222556 | 2025-Mar-12 01:07 |
| jotdown-0.7.0-r0.apk | 228318 | 2025-Mar-12 01:07 |
| futhark-0.25.28-r0.apk | 21527612 | 2025-Mar-12 01:07 |
| lomiri-weather-app-lang-6.0.2-r0.apk | 219165 | 2025-Mar-11 12:02 |
| lomiri-weather-app-6.0.2-r0.apk | 305904 | 2025-Mar-11 12:02 |
| tree-sitter-git-rebase-0_git20240722-r0.apk | 5203 | 2025-Mar-11 11:19 |
| tree-sitter-dart-0_git20250228-r0.apk | 101386 | 2025-Mar-11 11:19 |
| ruff-lsp-pyc-0.0.62-r0.apk | 35825 | 2025-Mar-11 11:19 |
| ruff-lsp-0.0.62-r0.apk | 21784 | 2025-Mar-11 11:19 |
| py3-jaraco.vcs-pyc-2.4.1-r0.apk | 16336 | 2025-Mar-11 11:19 |
| py3-jaraco.vcs-2.4.1-r0.apk | 10434 | 2025-Mar-11 11:19 |
| mediastreamer2-plugin-openh264-5.2.0_git20231020..> | 11411 | 2025-Mar-11 11:19 |
| cvise-pyc-2.11.0-r0.apk | 61137 | 2025-Mar-11 11:18 |
| cvise-2.11.0-r0.apk | 5477317 | 2025-Mar-11 11:18 |
| simgear-dev-2024.1.1-r0.apk | 413781 | 2025-Mar-05 00:17 |
| simgear-2024.1.1-r0.apk | 2256303 | 2025-Mar-05 00:17 |
| flightgear-zsh-completion-2024.1.1-r0.apk | 7504 | 2025-Mar-05 00:17 |
| flightgear-doc-2024.1.1-r0.apk | 59459 | 2025-Mar-05 00:17 |
| flightgear-dbg-2024.1.1-r0.apk | 23521153 | 2025-Mar-05 00:17 |
| flightgear-bash-completion-2024.1.1-r0.apk | 5634 | 2025-Mar-05 00:17 |
| flightgear-2024.1.1-r0.apk | 11110980 | 2025-Mar-05 00:17 |
| vim-nerdtree-7.1.3-r0.apk | 68158 | 2025-Mar-04 21:04 |
| stockfish-17-r0.apk | 64775466 | 2025-Mar-03 22:40 |
| libuecc-dev-7-r4.apk | 4746 | 2025-Mar-03 16:06 |
| libuecc-7-r4.apk | 9440 | 2025-Mar-03 16:06 |
| yices2-libs-2.6.5-r0.apk | 860406 | 2025-Mar-02 23:05 |
| yices2-dev-2.6.5-r0.apk | 42384 | 2025-Mar-02 23:05 |
| yices2-2.6.5-r0.apk | 2334765 | 2025-Mar-02 23:05 |
| kodi-vfs-libarchive-21.0.2-r0.apk | 113489 | 2025-Mar-02 16:15 |
| kodi-pvr-iptvsimple-21.10.2-r0.apk | 947732 | 2025-Mar-02 16:15 |
| kodi-pvr-hts-21.2.6-r0.apk | 304784 | 2025-Mar-02 16:15 |
| kodi-inputstream-rtmp-21.1.2-r0.apk | 89050 | 2025-Mar-02 16:15 |
| kodi-inputstream-ffmpegdirect-21.3.7-r0.apk | 355288 | 2025-Mar-02 16:15 |
| kodi-inputstream-adaptive-21.5.9-r0.apk | 1091047 | 2025-Mar-02 16:15 |
| cargo-crev-0.26.3-r0.apk | 6334164 | 2025-Mar-02 16:12 |
| spotify-player-0.20.4-r0.apk | 4662208 | 2025-Mar-02 15:55 |
| wf-shell-doc-0.9.0-r0.apk | 3155 | 2025-Feb-27 20:17 |
| wf-shell-dev-0.9.0-r0.apk | 1691 | 2025-Feb-27 20:17 |
| wf-shell-0.9.0-r0.apk | 6376856 | 2025-Feb-27 20:17 |
| wf-config-dev-0.9.0-r0.apk | 16647 | 2025-Feb-27 20:17 |
| wf-config-0.9.0-r0.apk | 108598 | 2025-Feb-27 20:17 |
| wcm-0.9.0-r0.apk | 374730 | 2025-Feb-27 20:17 |
| wayfire-plugins-extra-0.9.0-r0.apk | 557325 | 2025-Feb-27 20:17 |
| wayfire-doc-0.9.0-r0.apk | 3724 | 2025-Feb-27 20:17 |
| wayfire-dev-0.9.0-r0.apk | 132108 | 2025-Feb-27 20:17 |
| wayfire-0.9.0-r0.apk | 2554231 | 2025-Feb-27 20:17 |
| spampd-openrc-2.62-r0.apk | 2024 | 2025-Feb-25 22:31 |
| spampd-2.62-r0.apk | 39446 | 2025-Feb-25 22:31 |
| php84-pecl-csv-0.4.3-r0.apk | 9956 | 2025-Feb-25 16:30 |
| php81-pecl-csv-0.4.3-r0.apk | 10001 | 2025-Feb-25 16:30 |
| belr-dev-5.3.105-r0.apk | 14882 | 2025-Feb-25 12:52 |
| belr-5.3.105-r0.apk | 109552 | 2025-Feb-25 12:52 |
| belle-sip-dev-5.3.105-r0.apk | 55172 | 2025-Feb-25 12:51 |
| belle-sip-5.3.105-r0.apk | 643369 | 2025-Feb-25 12:51 |
| belcard-libs-5.3.105-r0.apk | 212454 | 2025-Feb-25 12:48 |
| belcard-dev-5.3.105-r0.apk | 11734 | 2025-Feb-25 12:48 |
| belcard-5.3.105-r0.apk | 12191 | 2025-Feb-25 12:48 |
| xa-doc-2.4.1-r0.apk | 17613 | 2025-Feb-25 12:36 |
| xa-2.4.1-r0.apk | 75923 | 2025-Feb-25 12:36 |
| shntool-doc-3.0.10-r5.apk | 10515 | 2025-Feb-24 21:28 |
| shntool-3.0.10-r5.apk | 58328 | 2025-Feb-24 21:28 |
| ecasound-doc-2.9.3-r4.apk | 39372 | 2025-Feb-24 21:28 |
| ecasound-dev-2.9.3-r4.apk | 1117831 | 2025-Feb-24 21:28 |
| ecasound-2.9.3-r4.apk | 690144 | 2025-Feb-24 21:28 |
| php84-pecl-memprof-3.1.0-r0.apk | 13433 | 2025-Feb-24 17:23 |
| php81-pecl-memprof-3.1.0-r0.apk | 13418 | 2025-Feb-24 17:23 |
| porla-openrc-0.41.0-r2.apk | 2750 | 2025-Feb-24 17:12 |
| porla-doc-0.41.0-r2.apk | 2246 | 2025-Feb-24 17:12 |
| porla-0.41.0-r2.apk | 3867039 | 2025-Feb-24 17:12 |
| recyclarr-7.4.1-r0.apk | 2717606 | 2025-Feb-24 11:55 |
| recyclarr-doc-7.4.1-r0.apk | 2267 | 2025-Feb-24 11:55 |
| ab-tidy-0.1.0-r2.apk | 82163 | 2025-Feb-23 20:55 |
| rdrview-0.1.3-r0.apk | 28287 | 2025-Feb-22 19:30 |
| rdrview-doc-0.1.3-r0.apk | 3781 | 2025-Feb-22 19:30 |
| ueberzug-18.3.1-r0.apk | 65839 | 2025-Feb-22 15:08 |
| kirc-doc-0.3.3-r0.apk | 2845 | 2025-Feb-22 15:08 |
| kirc-0.3.3-r0.apk | 15035 | 2025-Feb-22 15:08 |
| ueberzug-pyc-18.3.1-r0.apk | 65297 | 2025-Feb-22 15:08 |
| azpainter-3.0.11-r0.apk | 821036 | 2025-Feb-22 15:08 |
| azpainter-doc-3.0.11-r0.apk | 42905 | 2025-Feb-22 15:08 |
| solarus-quest-editor-1.7.0-r1.apk | 58132686 | 2025-Feb-22 14:39 |
| sauerbraten-2020.12.29-r4.apk | 979270029 | 2025-Feb-22 14:39 |
| repowerd-openrc-2023.07-r3.apk | 1716 | 2025-Feb-22 14:39 |
| repowerd-2023.07-r3.apk | 874058 | 2025-Feb-22 14:39 |
| rsstail-2.2-r0.apk | 8891 | 2025-Feb-22 14:39 |
| rsstail-doc-2.2-r0.apk | 2824 | 2025-Feb-22 14:39 |
| py3-pypandoc-1.15-r0.apk | 21211 | 2025-Feb-22 14:39 |
| py3-pymsteams-0.2.5-r0.apk | 12648 | 2025-Feb-22 14:39 |
| py3-marshmallow-pyc-3.26.1-r0.apk | 86934 | 2025-Feb-22 14:39 |
| py3-marshmallow-3.26.1-r0.apk | 48688 | 2025-Feb-22 14:39 |
| py3-truststore-0.10.1-r0.apk | 17911 | 2025-Feb-22 14:39 |
| py3-truststore-pyc-0.10.1-r0.apk | 26117 | 2025-Feb-22 14:39 |
| py3-pypandoc-pyc-1.15-r0.apk | 23565 | 2025-Feb-22 14:39 |
| py3-pymsteams-pyc-0.2.5-r0.apk | 6695 | 2025-Feb-22 14:39 |
| pcl-dev-1.14.1-r0.apk | 396182 | 2025-Feb-22 14:39 |
| pcsx2-1.7.4819-r5.apk | 12015840 | 2025-Feb-22 14:39 |
| pcl-libs-1.14.1-r0.apk | 1312216 | 2025-Feb-22 14:39 |
| pcl-1.14.1-r0.apk | 492244 | 2025-Feb-22 14:39 |
| openvpn3-dev-3.8.5-r1.apk | 683026 | 2025-Feb-22 14:39 |
| openvpn3-3.8.5-r1.apk | 391513 | 2025-Feb-22 14:39 |
| openjdk21-mandrel-23.1.6.0-r0.apk | 24164287 | 2025-Feb-22 14:39 |
| log4cxx-dev-1.1.0-r3.apk | 138449 | 2025-Feb-22 14:39 |
| log4cxx-1.1.0-r3.apk | 517138 | 2025-Feb-22 14:39 |
| litehtml-static-0.9-r2.apk | 523292 | 2025-Feb-22 14:39 |
| litehtml-dev-0.9-r2.apk | 42652 | 2025-Feb-22 14:39 |
| litehtml-0.9-r2.apk | 327193 | 2025-Feb-22 14:39 |
| lomiri-libusermetrics-doc-1.3.3-r1.apk | 233091 | 2025-Feb-22 14:39 |
| lomiri-libusermetrics-dev-1.3.3-r1.apk | 7982 | 2025-Feb-22 14:39 |
| lomiri-libusermetrics-1.3.3-r1.apk | 165495 | 2025-Feb-22 14:39 |
| lomiri-libusermetrics-lang-1.3.3-r1.apk | 44214 | 2025-Feb-22 14:39 |
| libqtdbusmock-0.9.1-r2.apk | 68283 | 2025-Feb-22 14:39 |
| libxml++-5.4.0-r0.apk | 64489 | 2025-Feb-22 14:39 |
| libxml++-dev-5.4.0-r0.apk | 30077 | 2025-Feb-22 14:39 |
| kimchi-lang-3.0.0-r8.apk | 176031 | 2025-Feb-22 14:38 |
| kimchi-3.0.0-r8.apk | 541596 | 2025-Feb-22 14:38 |
| kimchi-pyc-3.0.0-r8.apk | 487508 | 2025-Feb-22 14:38 |
| gstreamermm-1.10.0-r6.apk | 474870 | 2025-Feb-22 14:38 |
| gstreamermm-dev-1.10.0-r6.apk | 317176 | 2025-Feb-22 14:38 |
| gmenuharness-dev-0.1.4-r2.apk | 4164 | 2025-Feb-22 14:38 |
| gmenuharness-0.1.4-r2.apk | 37781 | 2025-Feb-22 14:38 |
| flann-dev-1.9.2-r1.apk | 986268 | 2025-Feb-22 14:38 |
| flann-doc-1.9.2-r1.apk | 2592 | 2025-Feb-22 14:38 |
| flann-1.9.2-r1.apk | 1740288 | 2025-Feb-22 14:38 |
| draco-1.5.7-r2.apk | 836559 | 2025-Feb-22 14:38 |
| draco-dev-1.5.7-r2.apk | 209628 | 2025-Feb-22 14:38 |
| draco-static-1.5.7-r2.apk | 1472085 | 2025-Feb-22 14:38 |
| draco-tools-1.5.7-r2.apk | 1275401 | 2025-Feb-22 14:38 |
| dhewm3-1.5.4-r0.apk | 5198097 | 2025-Feb-22 14:38 |
| click-dev-0.5.2-r4.apk | 9348 | 2025-Feb-22 14:38 |
| click-0.5.2-r4.apk | 160895 | 2025-Feb-22 14:38 |
| click-doc-0.5.2-r4.apk | 3388 | 2025-Feb-22 14:38 |
| click-pyc-0.5.2-r4.apk | 178972 | 2025-Feb-22 14:38 |
| bochs-2.8-r1.apk | 941699 | 2025-Feb-22 14:38 |
| bochs-doc-2.8-r1.apk | 142333 | 2025-Feb-22 14:38 |
| barrier-doc-2.4.0-r2.apk | 13302 | 2025-Feb-22 14:38 |
| barrier-2.4.0-r2.apk | 961059 | 2025-Feb-22 14:38 |
| py3-x-wr-timezone-2.0.1-r0.apk | 11930 | 2025-Feb-09 15:13 |
| py3-x-wr-timezone-pyc-2.0.1-r0.apk | 7265 | 2025-Feb-09 15:13 |
| supercollider-3.13.0-r6.apk | 8053747 | 2025-Feb-08 23:48 |
| supercollider-dev-3.13.0-r6.apk | 40146 | 2025-Feb-08 23:48 |
| aptdec-libs-1.8.0-r1.apk | 14910 | 2025-Feb-08 23:48 |
| aptdec-dev-1.8.0-r1.apk | 3510 | 2025-Feb-08 23:48 |
| aptdec-1.8.0-r1.apk | 87046 | 2025-Feb-08 23:48 |
| sc3-plugins-3.13.0-r2.apk | 10367406 | 2025-Feb-08 23:48 |
| cm256cc-dev-1.1.1-r1.apk | 15482 | 2025-Feb-08 23:48 |
| cm256cc-1.1.1-r1.apk | 9479 | 2025-Feb-08 23:48 |
| binwalk-3.1.0-r0.apk | 1060408 | 2025-Feb-07 15:46 |
| libnest2d-dev-0.4-r7.apk | 71551 | 2025-Feb-06 04:45 |
| py3-pynest2d-5.2.2-r5.apk | 252300 | 2025-Feb-06 04:45 |
| libnest2d-0.4-r7.apk | 1246 | 2025-Feb-06 04:45 |
| gamemode-doc-1.8.2-r0.apk | 7723 | 2025-Feb-05 23:30 |
| gamemode-dev-1.8.2-r0.apk | 5226 | 2025-Feb-05 23:30 |
| gamemode-1.8.2-r0.apk | 72249 | 2025-Feb-05 23:30 |
| winetricks-bash-completion-20250102-r0.apk | 8846 | 2025-Feb-05 22:22 |
| winetricks-doc-20250102-r0.apk | 3776 | 2025-Feb-05 22:22 |
| winetricks-20250102-r0.apk | 176616 | 2025-Feb-05 22:22 |
| ttyper-1.6.0-r0.apk | 610319 | 2025-Feb-05 21:45 |
| svgbob-0.7.6-r0.apk | 463008 | 2025-Feb-02 00:32 |
| rosenpass-0.2.2-r1.apk | 1076122 | 2025-Feb-01 18:23 |
| fuzzylite-6.0-r2.apk | 4217 | 2025-Jan-31 21:53 |
| fuzzylite-libs-6.0-r2.apk | 352845 | 2025-Jan-31 21:53 |
| fuzzylite-doc-6.0-r2.apk | 2138 | 2025-Jan-31 21:53 |
| fuzzylite-dev-6.0-r2.apk | 70074 | 2025-Jan-31 21:53 |
| gtklock-4.0.0-r0.apk | 18532 | 2025-Jan-31 16:16 |
| gtk-session-lock-dev-0.2.0-r0.apk | 5408 | 2025-Jan-31 16:16 |
| gtk-session-lock-0.2.0-r0.apk | 35436 | 2025-Jan-31 16:16 |
| gtklock-doc-4.0.0-r0.apk | 3043 | 2025-Jan-31 16:16 |
| metadata-cleaner-lang-2.5.6-r0.apk | 67487 | 2025-Jan-31 15:05 |
| metadata-cleaner-doc-2.5.6-r0.apk | 1994076 | 2025-Jan-31 15:05 |
| metadata-cleaner-2.5.6-r0.apk | 50301 | 2025-Jan-31 15:05 |
| qpdfview-doc-0.5-r2.apk | 4348 | 2025-Jan-29 20:02 |
| qpdfview-0.5-r2.apk | 1026440 | 2025-Jan-29 20:02 |
| py3-poppler-qt5-21.3.0-r2.apk | 123301 | 2025-Jan-29 20:02 |
| katarakt-0.2-r1.apk | 84554 | 2025-Jan-29 20:02 |
| opendht-3.1.11-r0.apk | 186151 | 2025-Jan-29 16:00 |
| py3-wgconfig-pyc-1.1.0-r0.apk | 11976 | 2025-Jan-29 16:00 |
| py3-wgconfig-1.1.0-r0.apk | 22496 | 2025-Jan-29 16:00 |
| py3-opendht-3.1.11-r0.apk | 157521 | 2025-Jan-29 16:00 |
| py3-ioctl-opt-pyc-1.3-r0.apk | 4761 | 2025-Jan-29 16:00 |
| py3-ioctl-opt-1.3-r0.apk | 11901 | 2025-Jan-29 16:00 |
| opendht-libs-3.1.11-r0.apk | 586882 | 2025-Jan-29 16:00 |
| opendht-doc-3.1.11-r0.apk | 3097 | 2025-Jan-29 16:00 |
| opendht-dev-3.1.11-r0.apk | 72590 | 2025-Jan-29 16:00 |
| fastd-23-r0.apk | 75211 | 2025-Jan-29 16:00 |
| fastd-openrc-23-r0.apk | 1739 | 2025-Jan-29 16:00 |
| fastd-doc-23-r0.apk | 3354 | 2025-Jan-29 16:00 |
| py3-pytaglib-pyc-3.0.0-r0.apk | 2940 | 2025-Jan-26 20:44 |
| py3-pytaglib-3.0.0-r0.apk | 39664 | 2025-Jan-26 20:44 |
| morph-browser-lang-1.1.2-r0.apk | 334630 | 2025-Jan-26 00:11 |
| morph-browser-1.1.2-r0.apk | 554320 | 2025-Jan-26 00:11 |
| py3-milc-pyc-1.9.1-r0.apk | 42495 | 2025-Jan-25 16:04 |
| py3-milc-1.9.1-r0.apk | 26617 | 2025-Jan-25 16:04 |
| vcdimager-doc-2.0.1-r5.apk | 76075 | 2025-Jan-22 10:33 |
| vcdimager-dev-2.0.1-r5.apk | 122361 | 2025-Jan-22 10:33 |
| vcdimager-2.0.1-r5.apk | 486314 | 2025-Jan-22 10:33 |
| gxlimg-0_git20240711-r0.apk | 21860 | 2025-Jan-21 19:45 |
| py3-cdio-pyc-2.1.1-r6.apk | 44183 | 2025-Jan-20 21:15 |
| py3-cdio-2.1.1-r6.apk | 100267 | 2025-Jan-20 21:15 |
| kodaskanna-lang-0.2.2-r0.apk | 23752 | 2025-Jan-20 08:16 |
| kodaskanna-0.2.2-r0.apk | 51908 | 2025-Jan-20 08:16 |
| tang-openrc-15-r0.apk | 1937 | 2025-Jan-20 04:17 |
| tang-doc-15-r0.apk | 21063 | 2025-Jan-20 04:17 |
| tang-dbg-15-r0.apk | 32813 | 2025-Jan-20 04:17 |
| tang-15-r0.apk | 15766 | 2025-Jan-20 04:17 |
| clevis-doc-21-r0.apk | 23727 | 2025-Jan-20 04:17 |
| clevis-dbg-21-r0.apk | 63397 | 2025-Jan-20 04:17 |
| clevis-bash-completion-21-r0.apk | 2087 | 2025-Jan-20 04:17 |
| clevis-21-r0.apk | 52730 | 2025-Jan-20 04:17 |
| dcmtk-3.6.9-r0.apk | 1316920 | 2025-Jan-19 16:47 |
| libdcmtk-3.6.9-r0.apk | 6741761 | 2025-Jan-19 16:47 |
| dcmtk-openrc-3.6.9-r0.apk | 1726 | 2025-Jan-19 16:47 |
| dcmtk-doc-3.6.9-r0.apk | 263594 | 2025-Jan-19 16:47 |
| dcmtk-dev-3.6.9-r0.apk | 1697578 | 2025-Jan-19 16:47 |
| py3-flask-limiter-3.10.1-r0.apk | 27245 | 2025-Jan-19 16:39 |
| py3-flask-limiter-pyc-3.10.1-r0.apk | 48105 | 2025-Jan-19 16:39 |
| trace-cmd-doc-3.3.1-r1.apk | 175372 | 2025-Jan-19 14:15 |
| trace-cmd-dbg-3.3.1-r1.apk | 519999 | 2025-Jan-19 14:15 |
| trace-cmd-bash-completion-3.3.1-r1.apk | 3429 | 2025-Jan-19 14:15 |
| trace-cmd-3.3.1-r1.apk | 167472 | 2025-Jan-19 14:15 |
| py3-isbnlib-pyc-3.10.14-r0.apk | 68283 | 2025-Jan-19 14:15 |
| py3-isbnlib-3.10.14-r0.apk | 43684 | 2025-Jan-19 14:15 |
| py3-bibtexparser-pyc-1.4.3-r0.apk | 49955 | 2025-Jan-19 14:15 |
| py3-bibtexparser-1.4.3-r0.apk | 41202 | 2025-Jan-19 14:15 |
| nuzzle-doc-1.6-r0.apk | 3281 | 2025-Jan-17 15:06 |
| nuzzle-1.6-r0.apk | 11959 | 2025-Jan-17 15:06 |
| perl-dbix-class-doc-0.082844-r0.apk | 430594 | 2025-Jan-16 17:27 |
| perl-dbix-class-0.082844-r0.apk | 364008 | 2025-Jan-16 17:27 |
| perl-libintl-perl-doc-1.35-r0.apk | 585132 | 2025-Jan-16 13:35 |
| perl-libintl-perl-1.35-r0.apk | 312242 | 2025-Jan-16 13:35 |
| piler-openrc-1.4.7-r0.apk | 2240 | 2025-Jan-16 10:17 |
| piler-1.4.7-r0.apk | 2243279 | 2025-Jan-16 10:17 |
| py3-spnego-pyc-0.11.2-r0.apk | 224331 | 2025-Jan-16 07:52 |
| py3-spnego-0.11.2-r0.apk | 120740 | 2025-Jan-16 07:52 |
| libm4rie-static-20200125-r5.apk | 194474 | 2025-Jan-15 18:10 |
| libm4rie-dev-20200125-r5.apk | 25021 | 2025-Jan-15 18:10 |
| libm4rie-20200125-r5.apk | 167563 | 2025-Jan-15 18:10 |
| libm4ri-static-20240729-r2.apk | 138371 | 2025-Jan-15 18:10 |
| libm4ri-dev-20240729-r2.apk | 32352 | 2025-Jan-15 18:10 |
| libm4ri-20240729-r2.apk | 122815 | 2025-Jan-15 18:10 |
| highfive-2.10.1-r0.apk | 77291 | 2025-Jan-15 02:50 |
| aoetools-doc-37-r2.apk | 14077 | 2025-Jan-14 15:29 |
| aoetools-37-r2.apk | 21966 | 2025-Jan-14 15:29 |
| diceware-pyc-1.0.1-r0.apk | 18487 | 2025-Jan-13 22:49 |
| diceware-1.0.1-r0.apk | 342041 | 2025-Jan-13 22:49 |
| py3-linux-procfs-0.7.3-r0.apk | 13930 | 2025-Jan-13 21:19 |
| py3-linux-procfs-pyc-0.7.3-r0.apk | 22660 | 2025-Jan-13 21:19 |
| libxo-doc-1.7.5-r0.apk | 64326 | 2025-Jan-12 22:45 |
| libxo-dev-1.7.5-r0.apk | 75847 | 2025-Jan-12 22:45 |
| libxo-1.7.5-r0.apk | 181595 | 2025-Jan-12 22:45 |
| zutty-0.16-r0.apk | 152463 | 2025-Jan-12 21:00 |
| zutty-doc-0.16-r0.apk | 68161 | 2025-Jan-12 21:00 |
| elementary-theme-8.1.0-r0.apk | 1571157 | 2025-Jan-12 20:52 |
| typstyle-0.12.14-r0.apk | 516975 | 2025-Jan-12 14:14 |
| whatsie-doc-4.16.3-r0.apk | 2251 | 2025-Jan-12 13:59 |
| whatsie-4.16.3-r0.apk | 16034891 | 2025-Jan-12 13:59 |
| monopd-openrc-0.10.4-r0.apk | 1727 | 2025-Jan-11 11:13 |
| monopd-0.10.4-r0.apk | 92721 | 2025-Jan-11 11:13 |
| py3-blockdiag-tests-3.0.0-r6.apk | 2627090 | 2025-Jan-10 17:03 |
| py3-blockdiag-pyc-3.0.0-r6.apk | 152406 | 2025-Jan-10 17:03 |
| py3-blockdiag-3.0.0-r6.apk | 69652 | 2025-Jan-10 17:03 |
| libqofono-qt6-0.124-r0.apk | 423562 | 2025-Jan-10 17:03 |
| libqofono-qt5-0.124-r0.apk | 284577 | 2025-Jan-10 17:03 |
| libqofono-dev-0.124-r0.apk | 43112 | 2025-Jan-10 17:03 |
| libqofono-0.124-r0.apk | 1251 | 2025-Jan-10 17:03 |
| lomiri-notifications-1.3.1-r0.apk | 94318 | 2025-Jan-10 10:09 |
| tree-sitter-haskell-0.23.1-r0.apk | 290608 | 2025-Jan-09 16:06 |
| lkrg-doc-0.9.6-r1.apk | 22088 | 2025-Jan-09 11:44 |
| lkrg-0.9.6-r1.apk | 107246 | 2025-Jan-09 11:44 |
| perl-uri-db-doc-0.23-r0.apk | 8555 | 2025-Jan-09 05:27 |
| perl-uri-db-0.23-r0.apk | 11153 | 2025-Jan-09 05:27 |
| gkrellm-doc-2.3.11-r0.apk | 18974 | 2025-Jan-08 23:55 |
| gkrellm-server-2.3.11-r0.apk | 51948 | 2025-Jan-08 23:55 |
| gkrellm-lang-2.3.11-r0.apk | 388219 | 2025-Jan-08 23:55 |
| gkrellm-dev-2.3.11-r0.apk | 16898 | 2025-Jan-08 23:55 |
| gkrellm-2.3.11-r0.apk | 361554 | 2025-Jan-08 23:55 |
| perl-datetime-format-rfc3339-1.10.0-r0.apk | 4492 | 2025-Jan-05 15:14 |
| perl-datetime-format-atom-doc-1.8.0-r0.apk | 3859 | 2025-Jan-05 15:14 |
| perl-datetime-format-rfc3339-doc-1.10.0-r0.apk | 4238 | 2025-Jan-05 15:14 |
| perl-datetime-format-atom-1.8.0-r0.apk | 3227 | 2025-Jan-05 15:14 |
| libzrtpcpp-dev-4.7.0-r0.apk | 38764 | 2025-Jan-04 21:55 |
| twinkle-doc-1.10.3-r3.apk | 3581 | 2025-Jan-04 21:55 |
| twinkle-1.10.3-r3.apk | 2552583 | 2025-Jan-04 21:55 |
| libzrtpcpp-4.7.0-r0.apk | 161173 | 2025-Jan-04 21:55 |
| plfit-static-1.0.1-r0.apk | 42521 | 2025-Jan-04 03:47 |
| plfit-libs-1.0.1-r0.apk | 36190 | 2025-Jan-04 03:47 |
| plfit-dev-1.0.1-r0.apk | 6637 | 2025-Jan-04 03:47 |
| plfit-1.0.1-r0.apk | 47797 | 2025-Jan-04 03:47 |
| lyrics-in-terminal-1.7.0-r0.apk | 38979 | 2025-Jan-03 10:09 |
| py3-mopidy-local-pyc-3.3.0-r0.apk | 34909 | 2025-Jan-01 22:24 |
| py3-mopidy-local-3.3.0-r0.apk | 28434 | 2025-Jan-01 22:24 |
| pgcat-openrc-1.2.0-r1.apk | 1922 | 2025-Jan-01 17:38 |
| pgcat-1.2.0-r1.apk | 2732875 | 2025-Jan-01 17:38 |
| perl-datetime-format-flexible-doc-0.37-r0.apk | 12411 | 2024-Dec-31 13:36 |
| perl-datetime-format-flexible-0.37-r0.apk | 18483 | 2024-Dec-31 13:36 |
| perl-getopt-long-descriptive-doc-0.116-r0.apk | 11253 | 2024-Dec-31 10:59 |
| perl-getopt-long-descriptive-0.116-r0.apk | 14985 | 2024-Dec-31 10:59 |
| soapy-bladerf-0.4.2-r0.apk | 48248 | 2024-Dec-31 10:53 |
| perl-dbix-connector-0.60-r0.apk | 15099 | 2024-Dec-30 06:37 |
| perl-dbix-lite-doc-0.36-r0.apk | 17938 | 2024-Dec-30 06:37 |
| perl-dbix-lite-0.36-r0.apk | 18447 | 2024-Dec-30 06:37 |
| perl-dbix-connector-doc-0.60-r0.apk | 22832 | 2024-Dec-30 06:37 |
| perl-dancer2-1.1.2-r0.apk | 166949 | 2024-Dec-28 04:54 |
| perl-dancer2-doc-1.1.2-r0.apk | 307990 | 2024-Dec-28 04:54 |
| perl-cli-osprey-doc-0.08-r0.apk | 12343 | 2024-Dec-28 04:54 |
| perl-cli-osprey-0.08-r0.apk | 13053 | 2024-Dec-28 04:54 |
| libdng-dev-0.2.1-r0.apk | 3273 | 2024-Dec-27 22:09 |
| libdng-doc-0.2.1-r0.apk | 4300 | 2024-Dec-27 22:09 |
| libdng-0.2.1-r0.apk | 10898 | 2024-Dec-27 22:09 |
| libdng-utils-0.2.1-r0.apk | 5976 | 2024-Dec-27 22:09 |
| perl-plack-middleware-removeredundantbody-doc-0...> | 3152 | 2024-Dec-27 14:02 |
| perl-plack-middleware-removeredundantbody-0.09-r..> | 2566 | 2024-Dec-27 14:02 |
| perl-plack-middleware-fixmissingbodyinredirect-d..> | 3140 | 2024-Dec-26 09:36 |
| perl-plack-middleware-fixmissingbodyinredirect-0..> | 3156 | 2024-Dec-26 09:36 |
| py3-pysubs2-pyc-1.8.0-r0.apk | 69338 | 2024-Dec-25 22:09 |
| py3-pysubs2-1.8.0-r0.apk | 36789 | 2024-Dec-25 22:09 |
| octoprint-pyc-1.10.3-r0.apk | 1297616 | 2024-Dec-25 22:04 |
| octoprint-openrc-1.10.3-r0.apk | 1751 | 2024-Dec-25 22:04 |
| octoprint-1.10.3-r0.apk | 3179035 | 2024-Dec-25 22:04 |
| py3-limits-pyc-3.14.1-r0.apk | 73151 | 2024-Dec-25 19:00 |
| py3-limits-3.14.1-r0.apk | 34300 | 2024-Dec-25 19:00 |
| guish-2.6.11-r0.apk | 101629 | 2024-Dec-24 10:42 |
| guish-doc-2.6.11-r0.apk | 62761 | 2024-Dec-24 10:42 |
| pitivi-pyc-2023.03-r2.apk | 716960 | 2024-Dec-23 03:30 |
| pitivi-lang-2023.03-r2.apk | 694552 | 2024-Dec-23 03:30 |
| pitivi-2023.03-r2.apk | 2809902 | 2024-Dec-23 03:30 |
| amber-mpris-1.2.9-r0.apk | 216040 | 2024-Dec-22 16:47 |
| amber-mpris-dev-1.2.9-r0.apk | 6882 | 2024-Dec-22 16:47 |
| swi-prolog-xpce-doc-9.2.9-r0.apk | 1094943 | 2024-Dec-21 10:09 |
| swi-prolog-xpce-9.2.9-r0.apk | 943909 | 2024-Dec-21 10:09 |
| swi-prolog-pyc-9.2.9-r0.apk | 22991 | 2024-Dec-21 10:09 |
| swi-prolog-doc-9.2.9-r0.apk | 2162619 | 2024-Dec-21 10:09 |
| swi-prolog-9.2.9-r0.apk | 4960961 | 2024-Dec-21 10:09 |
| py3-proxmoxer-2.2.0-r0.apk | 17258 | 2024-Dec-16 11:36 |
| py3-proxmoxer-pyc-2.2.0-r0.apk | 28402 | 2024-Dec-16 11:36 |
| throttled-openrc-0.10.0-r1.apk | 1642 | 2024-Dec-15 19:29 |
| throttled-0.10.0-r1.apk | 14902 | 2024-Dec-15 19:29 |
| throttled-pyc-0.10.0-r1.apk | 29047 | 2024-Dec-15 19:29 |
| bore-0.5.2-r0.apk | 547492 | 2024-Dec-15 19:29 |
| py3-jaraco.stream-3.0.4-r0.apk | 6874 | 2024-Dec-14 22:59 |
| py3-jaraco.stream-pyc-3.0.4-r0.apk | 8272 | 2024-Dec-14 22:59 |
| libsemigroups-static-2.7.3-r1.apk | 1668336 | 2024-Dec-14 21:23 |
| libsemigroups-dev-2.7.3-r1.apk | 367511 | 2024-Dec-14 21:23 |
| knxd-dev-0.14.61-r1.apk | 24103 | 2024-Dec-14 21:23 |
| knxd-0.14.61-r1.apk | 406541 | 2024-Dec-14 21:23 |
| libsemigroups-2.7.3-r1.apk | 817970 | 2024-Dec-14 21:23 |
| restinio-dev-0.6.19-r1.apk | 274275 | 2024-Dec-14 21:23 |
| restinio-0.6.19-r1.apk | 1260 | 2024-Dec-14 21:23 |
| pebble-le-doc-0.3.0-r2.apk | 3772 | 2024-Dec-14 21:23 |
| pebble-le-dev-0.3.0-r2.apk | 40999 | 2024-Dec-14 21:23 |
| pebble-le-0.3.0-r2.apk | 64700 | 2024-Dec-14 21:23 |
| azote-pyc-1.14.0-r0.apk | 100357 | 2024-Dec-14 21:22 |
| azote-1.14.0-r0.apk | 7979172 | 2024-Dec-14 21:22 |
| jwt-cli-6.2.0-r0.apk | 1032586 | 2024-Dec-14 18:18 |
| py3-janus-pyc-1.2.0-r0.apk | 13657 | 2024-Dec-13 04:57 |
| py3-janus-1.2.0-r0.apk | 12652 | 2024-Dec-13 04:57 |
| code-minimap-doc-0.6.7-r0.apk | 8184 | 2024-Dec-12 19:36 |
| code-minimap-0.6.7-r0.apk | 364092 | 2024-Dec-12 19:36 |
| libantlr4-dev-4.13.2-r0.apk | 958888 | 2024-Dec-12 11:22 |
| libantlr4-4.13.2-r0.apk | 472749 | 2024-Dec-12 11:22 |
| py3-flask-qrcode-pyc-3.2.0-r0.apk | 6304 | 2024-Dec-12 07:50 |
| py3-flask-qrcode-3.2.0-r0.apk | 18463 | 2024-Dec-12 07:50 |
| openfortivpn-1.22.1-r0.apk | 41565 | 2024-Dec-12 04:50 |
| py3-eventlet-pyc-0.38.1-r0.apk | 343696 | 2024-Dec-12 04:50 |
| py3-eventlet-0.38.1-r0.apk | 340184 | 2024-Dec-12 04:50 |
| openfortivpn-doc-1.22.1-r0.apk | 6445 | 2024-Dec-12 04:50 |
| perl-net-async-redis-doc-6.006-r0.apk | 67475 | 2024-Dec-11 16:22 |
| perl-net-async-redis-6.006-r0.apk | 59837 | 2024-Dec-11 16:22 |
| php81-pecl-smbclient-1.2.0_pre-r0.apk | 20538 | 2024-Dec-10 19:02 |
| meson-tools-0.1-r2.apk | 8221 | 2024-Dec-09 16:38 |
| meson-tools-doc-0.1-r2.apk | 8495 | 2024-Dec-09 16:38 |
| planner-0.14.92-r1.apk | 352921 | 2024-Dec-08 21:48 |
| getting-things-gnome-lang-0.6-r4.apk | 234203 | 2024-Dec-08 21:48 |
| getting-things-gnome-doc-0.6-r4.apk | 509331 | 2024-Dec-08 21:48 |
| getting-things-gnome-0.6-r4.apk | 732080 | 2024-Dec-08 21:48 |
| endeavour-doc-43.0-r2.apk | 69704 | 2024-Dec-08 21:48 |
| endeavour-dev-43.0-r2.apk | 46684 | 2024-Dec-08 21:48 |
| endeavour-43.0-r2.apk | 195303 | 2024-Dec-08 21:48 |
| endeavour-lang-43.0-r2.apk | 208017 | 2024-Dec-08 21:48 |
| py3-liblarch-pyc-3.2.0-r6.apk | 50797 | 2024-Dec-08 21:48 |
| py3-liblarch-3.2.0-r6.apk | 30224 | 2024-Dec-08 21:48 |
| planner-lang-0.14.92-r1.apk | 844370 | 2024-Dec-08 21:48 |
| planner-doc-0.14.92-r1.apk | 2208 | 2024-Dec-08 21:48 |
| py3-flake8-builtins-pyc-2.5.0-r0.apk | 8063 | 2024-Dec-07 21:51 |
| py3-flake8-builtins-2.5.0-r0.apk | 13033 | 2024-Dec-07 21:51 |
| py3-venusian-3.1.1-r0.apk | 14699 | 2024-Dec-07 21:14 |
| py3-venusian-pyc-3.1.1-r0.apk | 12799 | 2024-Dec-07 21:14 |
| dooit-extras-0.2.0-r0.apk | 13039 | 2024-Dec-07 20:27 |
| dooit-extras-pyc-0.2.0-r0.apk | 23339 | 2024-Dec-07 20:27 |
| py3-sqlmodel-pyc-0.0.22-r1.apk | 42173 | 2024-Dec-06 23:03 |
| py3-sqlmodel-0.0.22-r1.apk | 26956 | 2024-Dec-06 23:03 |
| py3-flask-flatpages-pyc-0.8.3-r0.apk | 13978 | 2024-Dec-06 23:00 |
| py3-flask-flatpages-0.8.3-r0.apk | 10958 | 2024-Dec-06 23:00 |
| drupal7-7.103-r0.apk | 3443841 | 2024-Dec-04 17:27 |
| drupal7-doc-7.103-r0.apk | 58844 | 2024-Dec-04 17:27 |
| php81-pecl-pcov-1.0.12-r0.apk | 9363 | 2024-Dec-04 17:17 |
| openfire-openrc-4.8.1-r1.apk | 1962 | 2024-Dec-03 15:45 |
| openfire-doc-4.8.1-r1.apk | 3963698 | 2024-Dec-03 15:45 |
| openfire-4.8.1-r1.apk | 48009269 | 2024-Dec-03 15:45 |
| openfire-plugins-4.8.1-r1.apk | 74086 | 2024-Dec-03 15:45 |
| elementary-photos-lang-8.0.1-r0.apk | 1052067 | 2024-Dec-02 23:40 |
| elementary-photos-8.0.1-r0.apk | 1208284 | 2024-Dec-02 23:40 |
| py3-soappy-0.52.30-r0.apk | 48394 | 2024-Dec-02 23:37 |
| py3-soappy-pyc-0.52.30-r0.apk | 97564 | 2024-Dec-02 23:37 |
| py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk | 10677 | 2024-Dec-01 20:13 |
| py3-cucumber-tag-expressions-6.1.1-r0.apk | 8877 | 2024-Dec-01 20:13 |
| py3-language-data-1.3.0-r0.apk | 5191894 | 2024-Dec-01 20:08 |
| py3-language-data-pyc-1.3.0-r0.apk | 3105407 | 2024-Dec-01 20:08 |
| py3-requests-kerberos-0.15.0-r0.apk | 12390 | 2024-Dec-01 17:24 |
| py3-requests-kerberos-pyc-0.15.0-r0.apk | 11189 | 2024-Dec-01 17:24 |
| tldr-python-client-doc-3.3.0-r0.apk | 3588 | 2024-Dec-01 17:09 |
| tldr-python-client-pyc-3.3.0-r0.apk | 14517 | 2024-Dec-01 17:09 |
| tldr-python-client-3.3.0-r0.apk | 12404 | 2024-Dec-01 17:09 |
| py3-numpy-stl-3.2.0-r0.apk | 21513 | 2024-Dec-01 02:04 |
| py3-numpy-stl-pyc-3.2.0-r0.apk | 28541 | 2024-Dec-01 02:04 |
| py3-ppk2-api-pyc-0.9.2-r0.apk | 16911 | 2024-Nov-30 21:06 |
| py3-ppk2-api-0.9.2-r0.apk | 16878 | 2024-Nov-30 21:06 |
| py3-itemadapter-pyc-0.10.0-r0.apk | 12973 | 2024-Nov-30 20:42 |
| py3-itemadapter-0.10.0-r0.apk | 11522 | 2024-Nov-30 20:42 |
| py3-protego-0.3.1-r0.apk | 9561 | 2024-Nov-30 20:18 |
| py3-protego-pyc-0.3.1-r0.apk | 11834 | 2024-Nov-30 20:18 |
| dmarc-metrics-exporter-pyc-1.2.0-r0.apk | 47575 | 2024-Nov-29 22:00 |
| dmarc-metrics-exporter-openrc-1.2.0-r0.apk | 1894 | 2024-Nov-29 22:00 |
| dmarc-metrics-exporter-1.2.0-r0.apk | 25881 | 2024-Nov-29 22:00 |
| treecat-doc-1.0.2_git20240706-r1.apk | 3365 | 2024-Nov-29 13:19 |
| treecat-1.0.2_git20240706-r1.apk | 114235 | 2024-Nov-29 13:19 |
| haredo-doc-1.0.5-r1.apk | 4773 | 2024-Nov-29 00:08 |
| himitsu-firefox-0.6-r1.apk | 172152 | 2024-Nov-29 00:08 |
| haredo-1.0.5-r1.apk | 124533 | 2024-Nov-29 00:08 |
| hare-madeline-doc-0.1_git20240505-r1.apk | 2213 | 2024-Nov-29 00:08 |
| hare-madeline-0.1_git20240505-r1.apk | 25164 | 2024-Nov-29 00:08 |
| mkrundir-0.4.0-r1.apk | 77116 | 2024-Nov-29 00:08 |
| certbot-dns-njalla-2.0.0-r0.apk | 9519 | 2024-Nov-27 23:05 |
| certbot-dns-njalla-pyc-2.0.0-r0.apk | 4312 | 2024-Nov-27 23:05 |
| gmid-openrc-2.1.1-r0.apk | 2244 | 2024-Nov-27 19:26 |
| gmid-doc-2.1.1-r0.apk | 14792 | 2024-Nov-27 19:26 |
| gmid-2.1.1-r0.apk | 226720 | 2024-Nov-27 19:26 |
| git-graph-0.6.0-r0.apk | 880937 | 2024-Nov-25 23:38 |
| git-graph-doc-0.6.0-r0.apk | 6395 | 2024-Nov-25 23:38 |
| py3-livestream-pyc-2.1.0-r0.apk | 30609 | 2024-Nov-25 22:22 |
| py3-livestream-2.1.0-r0.apk | 784633 | 2024-Nov-25 22:22 |
| atac-0.18.1-r0.apk | 5113403 | 2024-Nov-25 21:56 |
| highctidh-1.0.2024092800-r0.apk | 309150 | 2024-Nov-25 20:24 |
| highctidh-dev-1.0.2024092800-r0.apk | 333381 | 2024-Nov-25 20:24 |
| py3-highctidh-pyc-1.0.2024092800-r0.apk | 11858 | 2024-Nov-25 20:24 |
| py3-highctidh-1.0.2024092800-r0.apk | 321208 | 2024-Nov-25 20:24 |
| fnm-zsh-completion-1.38.1-r0.apk | 4392 | 2024-Nov-25 14:28 |
| fnm-fish-completion-1.38.1-r0.apk | 4395 | 2024-Nov-25 14:28 |
| fnm-bash-completion-1.38.1-r0.apk | 2850 | 2024-Nov-25 14:28 |
| fnm-1.38.1-r0.apk | 1999062 | 2024-Nov-25 14:28 |
| qstardict-doc-2.0.2-r1.apk | 10896 | 2024-Nov-24 10:45 |
| qstardict-2.0.2-r1.apk | 456575 | 2024-Nov-24 10:45 |
| predict-doc-2.3.1-r0.apk | 16466 | 2024-Nov-24 10:45 |
| predict-2.3.1-r0.apk | 102254 | 2024-Nov-24 10:45 |
| paprefs-lang-1.2-r2.apk | 38797 | 2024-Nov-22 22:14 |
| paprefs-1.2-r2.apk | 31038 | 2024-Nov-22 22:14 |
| py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk | 9893 | 2024-Nov-21 13:32 |
| py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r..> | 11494 | 2024-Nov-21 13:32 |
| py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk | 97385 | 2024-Nov-21 13:32 |
| py3-ovos-phal-plugin-oauth-0.1.3-r0.apk | 12204 | 2024-Nov-21 13:32 |
| py3-xdoctest-1.2.0-r0.apk | 319998 | 2024-Nov-21 13:32 |
| smassh-pyc-3.1.6-r0.apk | 71918 | 2024-Nov-21 02:57 |
| smassh-3.1.6-r0.apk | 74137 | 2024-Nov-21 02:57 |
| neko-dev-2.3.0-r0.apk | 10636 | 2024-Nov-21 02:45 |
| neko-doc-2.3.0-r0.apk | 20400 | 2024-Nov-21 02:45 |
| neko-2.3.0-r0.apk | 446897 | 2024-Nov-21 02:45 |
| gufw-pyc-24.04-r3.apk | 66123 | 2024-Nov-19 21:49 |
| gufw-lang-24.04-r3.apk | 875102 | 2024-Nov-19 21:49 |
| gufw-doc-24.04-r3.apk | 4591 | 2024-Nov-19 21:49 |
| gufw-24.04-r3.apk | 610790 | 2024-Nov-19 21:49 |
| py3-requests-cache-pyc-1.2.1-r1.apk | 96468 | 2024-Nov-18 08:46 |
| py3-requests-cache-1.2.1-r1.apk | 51129 | 2024-Nov-18 08:46 |
| randrctl-pyc-1.10.0-r0.apk | 31936 | 2024-Nov-17 21:22 |
| randrctl-1.10.0-r0.apk | 28598 | 2024-Nov-17 21:22 |
| linuxptp-4.4-r0.apk | 1249 | 2024-Nov-17 17:29 |
| linuxptp-tz2alt-4.4-r0.apk | 19532 | 2024-Nov-17 17:29 |
| linuxptp-ts2phc-4.4-r0.apk | 35879 | 2024-Nov-17 17:29 |
| linuxptp-timemaster-4.4-r0.apk | 16076 | 2024-Nov-17 17:29 |
| linuxptp-ptp4l-4.4-r0.apk | 79029 | 2024-Nov-17 17:29 |
| linuxptp-pmc-4.4-r0.apk | 35460 | 2024-Nov-17 17:29 |
| linuxptp-phc_ctl-4.4-r0.apk | 9678 | 2024-Nov-17 17:29 |
| linuxptp-phc2sys-4.4-r0.apk | 36483 | 2024-Nov-17 17:29 |
| linuxptp-nsm-4.4-r0.apk | 32413 | 2024-Nov-17 17:29 |
| linuxptp-hwstamp_ctl-4.4-r0.apk | 4433 | 2024-Nov-17 17:29 |
| linuxptp-doc-4.4-r0.apk | 39363 | 2024-Nov-17 17:29 |
| apk-autoupdate-doc-0_git20210421-r1.apk | 7123 | 2024-Nov-17 12:51 |
| apk-autoupdate-0_git20210421-r1.apk | 13395 | 2024-Nov-17 12:51 |
| perl-dbix-class-helpers-doc-2.037000-r0.apk | 124276 | 2024-Nov-17 04:04 |
| perl-dbix-class-helpers-2.037000-r0.apk | 48863 | 2024-Nov-17 04:04 |
| libbraiding-dev-1.3.1-r0.apk | 15431 | 2024-Nov-17 04:04 |
| libbraiding-1.3.1-r0.apk | 97653 | 2024-Nov-17 04:04 |
| fplll-strategies-5.5.0-r0.apk | 1792046 | 2024-Nov-17 04:04 |
| fplll-static-5.5.0-r0.apk | 6155272 | 2024-Nov-17 04:04 |
| fplll-libs-5.5.0-r0.apk | 5464272 | 2024-Nov-17 04:04 |
| fplll-dev-5.5.0-r0.apk | 79842 | 2024-Nov-17 04:04 |
| fplll-5.5.0-r0.apk | 50109 | 2024-Nov-17 04:04 |
| perl-test-utf8-doc-1.03-r0.apk | 4978 | 2024-Nov-16 15:17 |
| perl-test-utf8-1.03-r0.apk | 5704 | 2024-Nov-16 15:17 |
| py3-mss-10.0.0-r0.apk | 51806 | 2024-Nov-14 13:11 |
| nvim-lualine-doc-0.0.0_git20241101-r0.apk | 31295 | 2024-Nov-13 23:12 |
| nvim-lualine-0.0.0_git20241101-r0.apk | 60977 | 2024-Nov-13 23:12 |
| py3-minidb-pyc-2.0.8-r0.apk | 23989 | 2024-Nov-13 20:04 |
| py3-minidb-2.0.8-r0.apk | 10295 | 2024-Nov-13 20:04 |
| py3-pltable-pyc-1.1.0-r1.apk | 34278 | 2024-Nov-13 06:43 |
| py3-pltable-1.1.0-r1.apk | 19023 | 2024-Nov-13 06:43 |
| contractor-0.3.5-r0.apk | 26603 | 2024-Nov-12 21:55 |
| appcenter-lang-8.0.0-r0.apk | 264506 | 2024-Nov-12 21:55 |
| appcenter-8.0.0-r0.apk | 416000 | 2024-Nov-12 21:55 |
| crun-vm-doc-0.3.0-r0.apk | 13203 | 2024-Nov-12 11:40 |
| crun-vm-0.3.0-r0.apk | 1125452 | 2024-Nov-12 11:40 |
| py3-xapp-2.4.2-r0.apk | 34416 | 2024-Nov-12 11:18 |
| geotagging-0.7.4-r0.apk | 450299 | 2024-Nov-12 11:18 |
| py3-pyvcd-0.4.1-r0.apk | 23217 | 2024-Nov-11 21:46 |
| py3-pyvcd-pyc-0.4.1-r0.apk | 41424 | 2024-Nov-11 21:46 |
| grcov-0.8.20-r0.apk | 1954336 | 2024-Nov-11 10:06 |
| nvim-plenary-doc-0.1.4_git20240917-r0.apk | 10554 | 2024-Nov-11 04:07 |
| nvim-plenary-0.1.4_git20240917-r0.apk | 104453 | 2024-Nov-11 04:07 |
| nvim-web-devicons-0.100_git20241011-r0.apk | 27466 | 2024-Nov-11 03:58 |
| nvim-web-devicons-doc-0.100_git20241011-r0.apk | 7288 | 2024-Nov-11 03:58 |
| php81-pecl-xlswriter-1.5.8-r0.apk | 226832 | 2024-Nov-11 01:44 |
| elementary-sound-theme-1.1.0-r0.apk | 85067 | 2024-Nov-10 22:08 |
| neofetch-doc-7.1.0-r2.apk | 6329 | 2024-Nov-07 11:08 |
| neofetch-7.1.0-r2.apk | 87709 | 2024-Nov-07 11:08 |
| py3-zimscraperlib-pyc-3.4.0-r0.apk | 70011 | 2024-Nov-06 09:41 |
| py3-zimscraperlib-3.4.0-r0.apk | 52854 | 2024-Nov-06 09:41 |
| py3-pyglm-2.7.3-r0.apk | 1342946 | 2024-Nov-06 09:41 |
| plots-0.7.0-r1.apk | 528098 | 2024-Nov-06 09:41 |
| e16-doc-1.0.30-r0.apk | 27902 | 2024-Nov-05 13:22 |
| e16-lang-1.0.30-r0.apk | 388741 | 2024-Nov-05 13:22 |
| e16-1.0.30-r0.apk | 801895 | 2024-Nov-05 13:22 |
| php81-pecl-rdkafka-6.0.5-r0.apk | 36020 | 2024-Nov-04 12:52 |
| py3-aiohttp-remotes-1.3.0-r0.apk | 10264 | 2024-Nov-04 12:28 |
| py3-aiohttp-remotes-pyc-1.3.0-r0.apk | 19310 | 2024-Nov-04 12:28 |
| avara-0.7.1-r1.apk | 22400170 | 2024-Nov-04 08:53 |
| cproc-dbg-0_git20240427-r1.apk | 124263 | 2024-Nov-03 21:51 |
| cproc-0_git20240427-r1.apk | 53753 | 2024-Nov-03 21:51 |
| cproc-doc-0_git20240427-r1.apk | 2916 | 2024-Nov-03 21:51 |
| flawz-doc-0.3.0-r0.apk | 6131 | 2024-Nov-03 21:07 |
| flawz-bash-completion-0.3.0-r0.apk | 2167 | 2024-Nov-03 21:07 |
| flawz-0.3.0-r0.apk | 1246163 | 2024-Nov-03 21:07 |
| flawz-zsh-completion-0.3.0-r0.apk | 2278 | 2024-Nov-03 21:07 |
| flawz-fish-completion-0.3.0-r0.apk | 1955 | 2024-Nov-03 21:07 |
| py3-sh-2.1.0-r0.apk | 38666 | 2024-Nov-01 15:13 |
| py3-sh-pyc-2.1.0-r0.apk | 56173 | 2024-Nov-01 15:13 |
| libideviceactivation-dev-1.1.1-r5.apk | 3391 | 2024-Oct-30 22:44 |
| ifuse-doc-1.1.4-r5.apk | 2400 | 2024-Oct-30 22:44 |
| ifuse-1.1.4-r5.apk | 9789 | 2024-Oct-30 22:44 |
| ideviceinstaller-doc-1.1.1-r4.apk | 2511 | 2024-Oct-30 22:44 |
| ideviceinstaller-1.1.1-r4.apk | 13906 | 2024-Oct-30 22:44 |
| libideviceactivation-1.1.1-r5.apk | 16591 | 2024-Oct-30 22:44 |
| libideviceactivation-doc-1.1.1-r5.apk | 2230 | 2024-Oct-30 22:44 |
| perl-dbix-class-candy-0.005004-r0.apk | 8062 | 2024-Oct-30 05:59 |
| perl-dbix-class-candy-doc-0.005004-r0.apk | 9709 | 2024-Oct-30 05:59 |
| elementary-music-lang-8.0.0-r0.apk | 48625 | 2024-Oct-28 22:06 |
| elementary-music-8.0.0-r0.apk | 74586 | 2024-Oct-28 22:06 |
| py3-bite-parser-pyc-0.2.5-r0.apk | 24056 | 2024-Oct-28 21:51 |
| py3-bite-parser-0.2.5-r0.apk | 13966 | 2024-Oct-28 21:51 |
| php84-pecl-uv-0.3.0-r0.apk | 50057 | 2024-Oct-28 12:47 |
| java-jtreg-7.5_p1-r0.apk | 4825109 | 2024-Oct-27 13:25 |
| zsh-manydots-magic-0_git20230607-r1.apk | 2936 | 2024-Oct-25 19:04 |
| zsh-histdb-skim-0.8.6-r0.apk | 766624 | 2024-Oct-25 19:04 |
| zydis-dev-4.1.0-r0.apk | 62301 | 2024-Oct-25 19:04 |
| zydis-doc-4.1.0-r0.apk | 1652048 | 2024-Oct-25 19:04 |
| zsh-fzf-tab-0_git20220331-r1.apk | 16440 | 2024-Oct-25 19:04 |
| zydis-4.1.0-r0.apk | 210902 | 2024-Oct-25 19:04 |
| zfsbootmenu-2.3.0-r1.apk | 131327 | 2024-Oct-25 19:04 |
| zita-njbridge-doc-0.4.8-r1.apk | 5364 | 2024-Oct-25 19:04 |
| zita-njbridge-0.4.8-r1.apk | 29200 | 2024-Oct-25 19:04 |
| zile-doc-2.6.2-r1.apk | 16188 | 2024-Oct-25 19:04 |
| zile-2.6.2-r1.apk | 122019 | 2024-Oct-25 19:04 |
| zfsbootmenu-doc-2.3.0-r1.apk | 16174 | 2024-Oct-25 19:04 |
| zarchive-libs-0.1.2-r2.apk | 23787 | 2024-Oct-25 19:04 |
| zarchive-0.1.2-r2.apk | 15887 | 2024-Oct-25 19:04 |
| zarchive-dev-0.1.2-r2.apk | 6924 | 2024-Oct-25 19:04 |
| zapret-0.0.0_git20220125-r1.apk | 83164 | 2024-Oct-25 19:04 |
| zafiro-icon-theme-1.3-r0.apk | 20169317 | 2024-Oct-25 19:04 |
| z-doc-1.12-r0.apk | 4007 | 2024-Oct-25 19:04 |
| youki-dbg-0.4.1-r0.apk | 4717 | 2024-Oct-25 19:04 |
| youki-0.4.1-r0.apk | 1568859 | 2024-Oct-25 19:04 |
| z-1.12-r0.apk | 4717 | 2024-Oct-25 19:04 |
| zapret-openrc-0.0.0_git20220125-r1.apk | 2131 | 2024-Oct-25 19:04 |
| zapret-doc-0.0.0_git20220125-r1.apk | 100822 | 2024-Oct-25 19:04 |
| yoe-kiosk-browser-0_git20231118-r0.apk | 9256 | 2024-Oct-25 19:04 |
| ydcv-zsh-completion-0.7-r8.apk | 2206 | 2024-Oct-25 19:04 |
| ydcv-pyc-0.7-r8.apk | 10826 | 2024-Oct-25 19:04 |
| ydcv-0.7-r8.apk | 20506 | 2024-Oct-25 19:04 |
| yass-2.5.0-r0.apk | 14775926 | 2024-Oct-25 19:04 |
| xsane-0.999-r2.apk | 1568436 | 2024-Oct-25 19:04 |
| yamkix-pyc-0.10.0-r1.apk | 12060 | 2024-Oct-25 19:04 |
| yamkix-0.10.0-r1.apk | 14571 | 2024-Oct-25 19:04 |
| xvkbd-doc-4.1-r2.apk | 11033 | 2024-Oct-25 19:04 |
| xvkbd-4.1-r2.apk | 301682 | 2024-Oct-25 19:04 |
| xvidtune-doc-1.0.4-r0.apk | 4274 | 2024-Oct-25 19:04 |
| xvidtune-1.0.4-r0.apk | 17427 | 2024-Oct-25 19:04 |
| xva-img-1.5-r0.apk | 16905 | 2024-Oct-25 19:04 |
| xsoldier-doc-1.8-r2.apk | 2684 | 2024-Oct-25 19:04 |
| xsoldier-1.8-r2.apk | 68271 | 2024-Oct-25 19:04 |
| xsecurelock-doc-1.9.0-r1.apk | 18177 | 2024-Oct-25 19:04 |
| xsecurelock-1.9.0-r1.apk | 64026 | 2024-Oct-25 19:04 |
| xsane-lang-0.999-r2.apk | 450898 | 2024-Oct-25 19:04 |
| xsane-doc-0.999-r2.apk | 4413 | 2024-Oct-25 19:04 |
| xosview-doc-1.24-r0.apk | 12823 | 2024-Oct-25 19:04 |
| xosview-1.24-r0.apk | 135790 | 2024-Oct-25 19:04 |
| xiccd-0.3.0_git20211219-r1.apk | 16761 | 2024-Oct-25 19:04 |
| xmp-doc-4.2.0-r0.apk | 5447 | 2024-Oct-25 19:04 |
| xmp-4.2.0-r0.apk | 22089 | 2024-Oct-25 19:04 |
| xmag-doc-1.0.8-r0.apk | 4857 | 2024-Oct-25 19:04 |
| xmag-1.0.8-r0.apk | 16843 | 2024-Oct-25 19:04 |
| xload-doc-1.1.4-r0.apk | 3283 | 2024-Oct-25 19:04 |
| xload-1.1.4-r0.apk | 7120 | 2024-Oct-25 19:04 |
| xlhtml-doc-0.5.1-r0.apk | 2567 | 2024-Oct-25 19:04 |
| xlhtml-0.5.1-r0.apk | 11363 | 2024-Oct-25 19:04 |
| xisxwayland-doc-2-r1.apk | 2022 | 2024-Oct-25 19:04 |
| xisxwayland-2-r1.apk | 4021 | 2024-Oct-25 19:04 |
| xiccd-doc-0.3.0_git20211219-r1.apk | 3382 | 2024-Oct-25 19:04 |
| xmppipe-0.16.0-r1.apk | 15905 | 2024-Oct-25 19:04 |
| xgalaga-doc-2.1.1.0-r1.apk | 2614 | 2024-Oct-25 19:04 |
| xgalaga-2.1.1.0-r1.apk | 318178 | 2024-Oct-25 19:04 |
| xfd-doc-1.1.4-r0.apk | 5047 | 2024-Oct-25 19:04 |
| xfd-1.1.4-r0.apk | 14005 | 2024-Oct-25 19:04 |
| xfce4-mixer-lang-4.18.1-r2.apk | 60175 | 2024-Oct-25 19:04 |
| xfce4-mixer-doc-4.18.1-r2.apk | 2563 | 2024-Oct-25 19:04 |
| xfce4-mixer-4.18.1-r2.apk | 88653 | 2024-Oct-25 19:04 |
| xfce4-hamster-plugin-lang-1.17-r0.apk | 5187 | 2024-Oct-25 19:04 |
| xfce4-hamster-plugin-1.17-r0.apk | 38763 | 2024-Oct-25 19:04 |
| xf86-video-vboxvideo-doc-1.0.0-r1.apk | 3270 | 2024-Oct-25 19:04 |
| xf86-video-vboxvideo-1.0.0-r1.apk | 17369 | 2024-Oct-25 19:04 |
| xdg-ninja-0.2.0.2-r0.apk | 72165 | 2024-Oct-25 19:04 |
| x11docker-doc-7.6.0-r1.apk | 9635 | 2024-Oct-25 19:04 |
| x11docker-7.6.0-r1.apk | 116166 | 2024-Oct-25 19:04 |
| wshowkeys-1.0-r0.apk | 14920 | 2024-Oct-25 19:04 |
| wroomd-openrc-0.1.0-r0.apk | 1742 | 2024-Oct-25 19:04 |
| wroomd-0.1.0-r0.apk | 1031079 | 2024-Oct-25 19:04 |
| wput-doc-0.6.2-r4.apk | 8444 | 2024-Oct-25 19:04 |
| wput-0.6.2-r4.apk | 39533 | 2024-Oct-25 19:04 |
| wpa_actiond-openrc-1.4-r7.apk | 2273 | 2024-Oct-25 19:04 |
| wpa_actiond-1.4-r7.apk | 10239 | 2024-Oct-25 19:04 |
| wiremapper-0.10.0-r0.apk | 22171 | 2024-Oct-25 19:04 |
| wol-doc-0.7.1-r3.apk | 5654 | 2024-Oct-25 19:04 |
| wol-0.7.1-r3.apk | 25693 | 2024-Oct-25 19:04 |
| wok-pyc-3.0.0-r6.apk | 121526 | 2024-Oct-25 19:04 |
| wok-lang-3.0.0-r6.apk | 16424 | 2024-Oct-25 19:04 |
| wok-doc-3.0.0-r6.apk | 3753 | 2024-Oct-25 19:04 |
| wok-3.0.0-r6.apk | 160360 | 2024-Oct-25 19:04 |
| wmi-client-1.3.16-r5.apk | 2558369 | 2024-Oct-25 19:04 |
| wmctrl-doc-1.07-r1.apk | 5227 | 2024-Oct-25 19:04 |
| wmctrl-1.07-r1.apk | 14364 | 2024-Oct-25 19:04 |
| wlclock-doc-1.0.1-r0.apk | 3368 | 2024-Oct-25 19:04 |
| wlclock-1.0.1-r0.apk | 16940 | 2024-Oct-25 19:04 |
| wlavu-0_git20201101-r1.apk | 12793 | 2024-Oct-25 19:04 |
| wl-clipboard-x11-doc-5-r3.apk | 3012 | 2024-Oct-25 19:04 |
| wl-clipboard-x11-5-r3.apk | 3498 | 2024-Oct-25 19:04 |
| wk-adblock-doc-0.0.4-r5.apk | 2144 | 2024-Oct-25 19:04 |
| wk-adblock-0.0.4-r5.apk | 169915 | 2024-Oct-25 19:04 |
| witchery-0.0.3-r2.apk | 3276 | 2024-Oct-25 19:04 |
| wol-lang-0.7.1-r3.apk | 8353 | 2024-Oct-25 19:04 |
| wiimms-iso-tools-doc-3.04a-r1.apk | 66751 | 2024-Oct-25 19:04 |
| wiimms-szs-tools-doc-2.26a-r0.apk | 50816 | 2024-Oct-25 19:04 |
| wiimms-szs-tools-2.26a-r0.apk | 5272152 | 2024-Oct-25 19:04 |
| wiimms-iso-tools-3.04a-r1.apk | 3724668 | 2024-Oct-25 19:04 |
| whipper-pyc-0.10.0-r5.apk | 189498 | 2024-Oct-25 19:04 |
| whipper-0.10.0-r5.apk | 115626 | 2024-Oct-25 19:04 |
| wch-isp-doc-0.4.1-r2.apk | 2725 | 2024-Oct-25 19:04 |
| waylevel-1.0.0-r1.apk | 310385 | 2024-Oct-25 19:04 |
| watershot-0.2.0-r0.apk | 1744795 | 2024-Oct-25 19:04 |
| watchdog-doc-5.16-r2.apk | 14559 | 2024-Oct-25 19:04 |
| watchdog-5.16-r2.apk | 42862 | 2024-Oct-25 19:04 |
| watchbind-doc-0.2.1-r1.apk | 6725 | 2024-Oct-25 19:04 |
| weggli-0.2.4-r1.apk | 842718 | 2024-Oct-25 19:04 |
| watchbind-0.2.1-r1.apk | 1134234 | 2024-Oct-25 19:04 |
| wch-isp-0.4.1-r2.apk | 10243 | 2024-Oct-25 19:04 |
| wch-isp-udev-rules-0.4.1-r2.apk | 1689 | 2024-Oct-25 19:04 |
| vmtouch-doc-1.3.1-r0.apk | 8230 | 2024-Oct-25 19:03 |
| vmtouch-1.3.1-r0.apk | 12090 | 2024-Oct-25 19:03 |
| walk-sor-doc-0_git20190920-r1.apk | 7957 | 2024-Oct-25 19:03 |
| walk-sor-0_git20190920-r1.apk | 5600 | 2024-Oct-25 19:03 |
| wakeonlan-doc-0.42-r0.apk | 7695 | 2024-Oct-25 19:03 |
| wakeonlan-0.42-r0.apk | 4612 | 2024-Oct-25 19:03 |
| vym-doc-2.9.26-r0.apk | 3555377 | 2024-Oct-25 19:03 |
| vym-2.9.26-r0.apk | 2928572 | 2024-Oct-25 19:03 |
| volumeicon-lang-0.5.1-r1.apk | 3852 | 2024-Oct-25 19:03 |
| volumeicon-0.5.1-r1.apk | 44201 | 2024-Oct-25 19:03 |
| voikko-fi-2.5-r0.apk | 1638403 | 2024-Oct-25 19:03 |
| vit-pyc-2.3.2-r1.apk | 155116 | 2024-Oct-25 19:03 |
| vkbasalt-doc-0.3.2.10-r0.apk | 3151 | 2024-Oct-25 19:03 |
| vkbasalt-0.3.2.10-r0.apk | 413375 | 2024-Oct-25 19:03 |
| vit-2.3.2-r1.apk | 82410 | 2024-Oct-25 19:03 |
| vim-airline-doc-0.11-r0.apk | 12418 | 2024-Oct-25 19:03 |
| vim-airline-0.11-r0.apk | 88465 | 2024-Oct-25 19:03 |
| viewnior-lang-1.8-r1.apk | 87191 | 2024-Oct-25 19:03 |
| viewnior-doc-1.8-r1.apk | 2150 | 2024-Oct-25 19:03 |
| viewnior-1.8-r1.apk | 73620 | 2024-Oct-25 19:03 |
| vidcutter-pyc-6.0.5.3-r0.apk | 1996669 | 2024-Oct-25 19:03 |
| vidcutter-doc-6.0.5.3-r0.apk | 24780 | 2024-Oct-25 19:03 |
| vidcutter-6.0.5.3-r0.apk | 2931884 | 2024-Oct-25 19:03 |
| vcstool-pyc-0.3.0-r5.apk | 59108 | 2024-Oct-25 19:03 |
| vcstool-bash-completion-0.3.0-r5.apk | 1801 | 2024-Oct-25 19:03 |
| vcstool-0.3.0-r5.apk | 35638 | 2024-Oct-25 19:03 |
| vcsh-zsh-completion-2.0.5-r0.apk | 2998 | 2024-Oct-25 19:03 |
| vcsh-doc-2.0.5-r0.apk | 27849 | 2024-Oct-25 19:03 |
| vcsh-bash-completion-2.0.5-r0.apk | 2993 | 2024-Oct-25 19:03 |
| vcsh-2.0.5-r0.apk | 9006 | 2024-Oct-25 19:03 |
| vfd-configurations-0_git20230612-r0.apk | 25398 | 2024-Oct-25 19:03 |
| vectoroids-doc-1.1.0-r2.apk | 2350 | 2024-Oct-25 19:03 |
| vectoroids-1.1.0-r2.apk | 288447 | 2024-Oct-25 19:03 |
| vdr-plugin-dvbapi-lang-2.2.5-r0.apk | 2607 | 2024-Oct-25 19:03 |
| vdr-plugin-dvbapi-2.2.5-r0.apk | 62520 | 2024-Oct-25 19:03 |
| vcstool-zsh-completion-0.3.0-r5.apk | 1767 | 2024-Oct-25 19:03 |
| vcstool-tcsh-completion-0.3.0-r5.apk | 1675 | 2024-Oct-25 19:03 |
| utop-2.9.1-r4.apk | 13926328 | 2024-Oct-25 19:03 |
| uxn-doc-1.0-r0.apk | 4282 | 2024-Oct-25 19:03 |
| uxn-1.0-r0.apk | 41190 | 2024-Oct-25 19:03 |
| uucp-doc-1.07-r6.apk | 120932 | 2024-Oct-25 19:03 |
| uucp-1.07-r6.apk | 498866 | 2024-Oct-25 19:03 |
| utop-full-2.9.1-r4.apk | 13930784 | 2024-Oct-25 19:03 |
| utop-emacs-2.9.1-r4.apk | 13143 | 2024-Oct-25 19:03 |
| utop-doc-2.9.1-r4.apk | 5886 | 2024-Oct-25 19:03 |
| utop-common-2.9.1-r4.apk | 2091 | 2024-Oct-25 19:03 |
| ustr-static-1.0.4-r1.apk | 168786 | 2024-Oct-25 19:03 |
| ustr-doc-1.0.4-r1.apk | 98895 | 2024-Oct-25 19:03 |
| ustr-dev-1.0.4-r1.apk | 93644 | 2024-Oct-25 19:03 |
| ustr-debug-1.0.4-r1.apk | 79659 | 2024-Oct-25 19:03 |
| ustr-1.0.4-r1.apk | 61069 | 2024-Oct-25 19:03 |
| urlwatch-2.28-r2.apk | 49879 | 2024-Oct-25 19:03 |
| urlwatch-pyc-2.28-r2.apk | 103817 | 2024-Oct-25 19:03 |
| uranium-5.2.2-r3.apk | 609836 | 2024-Oct-25 19:03 |
| urlwatch-doc-2.28-r2.apk | 33985 | 2024-Oct-25 19:03 |
| ubuntu-archive-keyring-2023.11.28.1-r0.apk | 16548 | 2024-Oct-25 19:03 |
| ubase-doc-20200605-r3.apk | 21742 | 2024-Oct-25 19:03 |
| ubase-20200605-r3.apk | 43637 | 2024-Oct-25 19:03 |
| uasm-2.56.2-r0.apk | 277620 | 2024-Oct-25 19:03 |
| u1db-qt-0.1.8-r0.apk | 97401 | 2024-Oct-25 19:03 |
| twemproxy-doc-0.5.0-r0.apk | 17619 | 2024-Oct-25 19:03 |
| twemproxy-0.5.0-r0.apk | 68058 | 2024-Oct-25 19:03 |
| turnstile-openrc-0.1.10-r3.apk | 1845 | 2024-Oct-25 19:03 |
| turnstile-doc-0.1.10-r3.apk | 5838 | 2024-Oct-25 19:03 |
| turnstile-0.1.10-r3.apk | 38108 | 2024-Oct-25 19:03 |
| tui-journal-doc-0.10.0-r0.apk | 7060 | 2024-Oct-25 19:03 |
| tui-journal-0.10.0-r0.apk | 1991391 | 2024-Oct-25 19:03 |
| tty-clock-doc-2.3_git20240104-r0.apk | 3197 | 2024-Oct-25 19:03 |
| tty-clock-2.3_git20240104-r0.apk | 8457 | 2024-Oct-25 19:03 |
| ttfautohint-libs-1.8.4-r0.apk | 104567 | 2024-Oct-25 19:03 |
| ttfautohint-gui-1.8.4-r0.apk | 58159 | 2024-Oct-25 19:03 |
| ttfautohint-doc-1.8.4-r0.apk | 8291 | 2024-Oct-25 19:03 |
| ttfautohint-dev-1.8.4-r0.apk | 156834 | 2024-Oct-25 19:03 |
| ttfautohint-1.8.4-r0.apk | 27628 | 2024-Oct-25 19:03 |
| twiggy-0.6.0-r3.apk | 815197 | 2024-Oct-25 19:03 |
| udpt-openrc-3.1.2-r0.apk | 1849 | 2024-Oct-25 19:03 |
| udpt-3.1.2-r0.apk | 711206 | 2024-Oct-25 19:03 |
| ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2340 | 2024-Oct-25 19:03 |
| topgit-0.19.13-r1.apk | 129695 | 2024-Oct-25 19:03 |
| tree-sitter-pascal-0.9.1-r0.apk | 83607 | 2024-Oct-25 19:03 |
| tree-sitter-make-0_git20211216-r2.apk | 42108 | 2024-Oct-25 19:03 |
| tree-sitter-just-0_git20230318-r0.apk | 14464 | 2024-Oct-25 19:03 |
| tree-sitter-caddy-doc-0_git20230322-r0.apk | 2346 | 2024-Oct-25 19:03 |
| tree-sitter-caddy-0_git20230322-r0.apk | 71643 | 2024-Oct-25 19:03 |
| tre-static-0.8.0-r2.apk | 28683 | 2024-Oct-25 19:03 |
| tre-dev-0.8.0-r2.apk | 5327 | 2024-Oct-25 19:03 |
| tre-0.8.0-r2.apk | 27361 | 2024-Oct-25 19:03 |
| trantor-doc-1.5.18-r0.apk | 2672 | 2024-Oct-25 19:03 |
| trantor-dev-1.5.18-r0.apk | 34816 | 2024-Oct-25 19:03 |
| trantor-1.5.18-r0.apk | 234552 | 2024-Oct-25 19:03 |
| transmission-remote-gtk-lang-1.6.0-r0.apk | 108554 | 2024-Oct-25 19:03 |
| transmission-remote-gtk-doc-1.6.0-r0.apk | 4328 | 2024-Oct-25 19:03 |
| transmission-remote-gtk-1.6.0-r0.apk | 148943 | 2024-Oct-25 19:03 |
| tpp-bypass-0.8.4-r0.apk | 13017 | 2024-Oct-25 19:03 |
| tpm2-pkcs11-pyc-1.9.1-r0.apk | 70578 | 2024-Oct-25 19:03 |
| tpm2-pkcs11-dev-1.9.1-r0.apk | 1923 | 2024-Oct-25 19:03 |
| tpm2-pkcs11-1.9.1-r0.apk | 132649 | 2024-Oct-25 19:03 |
| torrent-file-editor-0.3.18-r0.apk | 372446 | 2024-Oct-25 19:03 |
| topgit-doc-0.19.13-r1.apk | 75191 | 2024-Oct-25 19:03 |
| topgit-bash-completion-0.19.13-r1.apk | 4123 | 2024-Oct-25 19:03 |
| tree-sitter-pascal-doc-0.9.1-r0.apk | 2322 | 2024-Oct-25 19:03 |
| toapk-1.0-r0.apk | 10934 | 2024-Oct-25 19:03 |
| tnef-doc-1.4.18-r0.apk | 4323 | 2024-Oct-25 19:03 |
| tnef-1.4.18-r0.apk | 25530 | 2024-Oct-25 19:03 |
| tncattach-doc-0.1.9-r1.apk | 4006 | 2024-Oct-25 19:03 |
| tncattach-0.1.9-r1.apk | 23033 | 2024-Oct-25 19:03 |
| tmux-resurrect-doc-4.0.0-r0.apk | 8593 | 2024-Oct-25 19:03 |
| tmux-resurrect-4.0.0-r0.apk | 14182 | 2024-Oct-25 19:03 |
| tmpmail-doc-1.2.3-r2.apk | 3325 | 2024-Oct-25 19:03 |
| tmpmail-1.2.3-r2.apk | 7193 | 2024-Oct-25 19:03 |
| tmate-doc-2.4.0-r4.apk | 73441 | 2024-Oct-25 19:03 |
| tmate-2.4.0-r4.apk | 276516 | 2024-Oct-25 19:03 |
| tiptop-doc-2.3.1-r2.apk | 7227 | 2024-Oct-25 19:03 |
| tiptop-2.3.1-r2.apk | 34965 | 2024-Oct-25 19:03 |
| tinyscheme-1.42-r1.apk | 59282 | 2024-Oct-25 19:03 |
| tintin-2.02.31-r0.apk | 1909042 | 2024-Oct-25 19:03 |
| timewarrior-doc-1.7.1-r0.apk | 22740 | 2024-Oct-25 19:03 |
| timewarrior-1.7.1-r0.apk | 268383 | 2024-Oct-25 19:03 |
| timew-doc-1.4.3-r1.apk | 54428 | 2024-Oct-25 19:03 |
| timew-bash-completion-1.4.3-r1.apk | 2829 | 2024-Oct-25 19:03 |
| timew-1.4.3-r1.apk | 258190 | 2024-Oct-25 19:03 |
| thunarx-python-doc-0.5.2-r2.apk | 25810 | 2024-Oct-25 19:03 |
| thunarx-python-0.5.2-r2.apk | 9515 | 2024-Oct-25 19:03 |
| thunar-gtkhash-plugin-1.5-r0.apk | 23468 | 2024-Oct-25 19:03 |
| thumbdrives-0.3.2-r2.apk | 11207 | 2024-Oct-25 19:03 |
| theme.sh-doc-1.1.5-r0.apk | 2377 | 2024-Oct-25 19:03 |
| thefuck-pyc-3.32-r5.apk | 159461 | 2024-Oct-25 19:03 |
| theforceengine-doc-1.09.540-r1.apk | 6631419 | 2024-Oct-25 19:03 |
| theforceengine-1.09.540-r1.apk | 7215950 | 2024-Oct-25 19:03 |
| thelounge-doc-4.4.3-r0.apk | 2356 | 2024-Oct-25 19:03 |
| theme.sh-1.1.5-r0.apk | 40015 | 2024-Oct-25 19:03 |
| thelounge-openrc-4.4.3-r0.apk | 2085 | 2024-Oct-25 19:03 |
| thelounge-4.4.3-r0.apk | 29375885 | 2024-Oct-25 19:03 |
| thefuck-3.32-r5.apk | 85339 | 2024-Oct-25 19:03 |
| termbox-static-1.1.2-r1.apk | 12798 | 2024-Oct-25 19:03 |
| termcolor-2.1.0-r0.apk | 1505 | 2024-Oct-25 19:03 |
| termbox-dev-1.1.2-r1.apk | 5875 | 2024-Oct-25 19:03 |
| termbox-1.1.2-r1.apk | 11570 | 2024-Oct-25 19:03 |
| tere-doc-1.6.0-r0.apk | 14325 | 2024-Oct-25 19:03 |
| tere-1.6.0-r0.apk | 1056191 | 2024-Oct-25 19:03 |
| terminalpp-ropen-0.8.4-r0.apk | 57145 | 2024-Oct-25 19:03 |
| terminalpp-0.8.4-r0.apk | 421230 | 2024-Oct-25 19:03 |
| termcolor-dev-2.1.0-r0.apk | 7001 | 2024-Oct-25 19:03 |
| tcmu-runner-doc-1.6.0-r6.apk | 2575 | 2024-Oct-25 19:03 |
| tcl-curl-doc-7.22.0-r0.apk | 38562 | 2024-Oct-25 19:03 |
| tcl-curl-7.22.0-r0.apk | 32518 | 2024-Oct-25 19:03 |
| tartube-pyc-2.5.0-r1.apk | 1123634 | 2024-Oct-25 19:03 |
| tartube-2.5.0-r1.apk | 2874302 | 2024-Oct-25 19:03 |
| teapot-tools-0.4.2-r2.apk | 2094215 | 2024-Oct-25 19:03 |
| tdrop-doc-0.5.0-r0.apk | 9066 | 2024-Oct-25 19:03 |
| tdrop-0.5.0-r0.apk | 12107 | 2024-Oct-25 19:03 |
| tcmu-runner-rbd-1.6.0-r6.apk | 12720 | 2024-Oct-25 19:03 |
| tcmu-runner-1.6.0-r6.apk | 83709 | 2024-Oct-25 19:03 |
| swaks-20240103.0-r0.apk | 67673 | 2024-Oct-25 19:03 |
| svt-vp9-libs-0.3.0-r2.apk | 527755 | 2024-Oct-25 19:03 |
| svt-vp9-doc-0.3.0-r2.apk | 4869 | 2024-Oct-25 19:03 |
| svt-vp9-dev-0.3.0-r2.apk | 7575 | 2024-Oct-25 19:03 |
| svt-vp9-0.3.0-r2.apk | 17571 | 2024-Oct-25 19:03 |
| svt-hevc-libs-1.5.1-r2.apk | 750997 | 2024-Oct-25 19:03 |
| svt-hevc-doc-1.5.1-r2.apk | 5101 | 2024-Oct-25 19:03 |
| svt-hevc-dev-1.5.1-r2.apk | 10636 | 2024-Oct-25 19:03 |
| svt-hevc-1.5.1-r2.apk | 32021 | 2024-Oct-25 19:03 |
| svls-0.2.12-r0.apk | 3530903 | 2024-Oct-25 19:03 |
| surfraw-doc-2.3.0-r0.apk | 18129 | 2024-Oct-25 19:03 |
| surfraw-2.3.0-r0.apk | 80620 | 2024-Oct-25 19:03 |
| surf-doc-2.1-r3.apk | 4747 | 2024-Oct-25 19:03 |
| surf-2.1-r3.apk | 21448 | 2024-Oct-25 19:03 |
| supermin-doc-5.2.2-r2.apk | 9588 | 2024-Oct-25 19:03 |
| supermin-5.2.2-r2.apk | 519563 | 2024-Oct-25 19:03 |
| subtitleeditor-doc-0.54.0-r3.apk | 2940 | 2024-Oct-25 19:03 |
| subtitleeditor-dev-0.54.0-r3.apk | 1564 | 2024-Oct-25 19:03 |
| subtitleeditor-0.54.0-r3.apk | 1489718 | 2024-Oct-25 19:03 |
| sublime-music-pyc-0.12.0-r1.apk | 309430 | 2024-Oct-25 19:03 |
| sublime-music-0.12.0-r1.apk | 194061 | 2024-Oct-25 19:03 |
| svls-doc-0.2.12-r0.apk | 2282 | 2024-Oct-25 19:03 |
| tachyon-scenes-0.99_beta6-r1.apk | 2027062 | 2024-Oct-25 19:03 |
| tachyon-0.99_beta6-r1.apk | 103126 | 2024-Oct-25 19:03 |
| t2sz-1.1.2-r0.apk | 9062 | 2024-Oct-25 19:03 |
| syncthing-gtk-pyc-0.9.4.5-r2.apk | 225895 | 2024-Oct-25 19:03 |
| syncthing-gtk-doc-0.9.4.5-r2.apk | 2256 | 2024-Oct-25 19:03 |
| syncthing-gtk-0.9.4.5-r2.apk | 450512 | 2024-Oct-25 19:03 |
| synapse-bt-openrc-1.0-r4.apk | 1864 | 2024-Oct-25 19:03 |
| synapse-bt-cli-1.0-r4.apk | 1018961 | 2024-Oct-25 19:03 |
| synapse-bt-1.0-r4.apk | 1125377 | 2024-Oct-25 19:03 |
| sympow-doc-2.023.7-r2.apk | 3155 | 2024-Oct-25 19:03 |
| sympow-2.023.7-r2.apk | 1907452 | 2024-Oct-25 19:03 |
| symengine-0.12.0-r0.apk | 3031839 | 2024-Oct-25 19:03 |
| symbiyosys-0.36-r0.apk | 38479 | 2024-Oct-25 19:03 |
| sylpheed-imap-notify-1.1.0-r2.apk | 8139 | 2024-Oct-25 19:03 |
| sydbox-vim-3.21.3-r0.apk | 5352 | 2024-Oct-25 19:03 |
| sydbox-utils-3.21.3-r0.apk | 6519687 | 2024-Oct-25 19:03 |
| sydbox-oci-3.21.3-r0.apk | 1910550 | 2024-Oct-25 19:03 |
| sydbox-doc-3.21.3-r0.apk | 85912 | 2024-Oct-25 19:03 |
| sydbox-3.21.3-r0.apk | 1463601 | 2024-Oct-25 19:03 |
| sxcs-doc-1.1.0-r0.apk | 2701 | 2024-Oct-25 19:03 |
| sxcs-1.1.0-r0.apk | 8282 | 2024-Oct-25 19:03 |
| swhkd-doc-1.2.1-r0.apk | 6320 | 2024-Oct-25 19:03 |
| swhkd-1.2.1-r0.apk | 1103240 | 2024-Oct-25 19:03 |
| swayhide-0.2.1-r2.apk | 261610 | 2024-Oct-25 19:03 |
| sway-audio-idle-inhibit-0.1.2-r0.apk | 10051 | 2024-Oct-25 19:03 |
| swaks-doc-20240103.0-r0.apk | 50838 | 2024-Oct-25 19:03 |
| stone-soup-0.32.1-r0.apk | 33611513 | 2024-Oct-25 19:03 |
| stw-0.3-r0.apk | 8305 | 2024-Oct-25 19:03 |
| stw-doc-0.3-r0.apk | 2592 | 2024-Oct-25 19:03 |
| sthttpd-2.27.1-r2.apk | 58701 | 2024-Oct-25 19:03 |
| stgit-zsh-completion-2.4.7-r1.apk | 24142 | 2024-Oct-25 19:03 |
| stgit-vim-2.4.7-r1.apk | 3539 | 2024-Oct-25 19:03 |
| stgit-fish-completion-2.4.7-r1.apk | 11987 | 2024-Oct-25 19:03 |
| stgit-emacs-2.4.7-r1.apk | 28217 | 2024-Oct-25 19:03 |
| stgit-doc-2.4.7-r1.apk | 129263 | 2024-Oct-25 19:03 |
| stgit-bash-completion-2.4.7-r1.apk | 17428 | 2024-Oct-25 19:03 |
| stgit-2.4.7-r1.apk | 1954926 | 2024-Oct-25 19:03 |
| steghide-doc-0.5.1.1-r0.apk | 14051 | 2024-Oct-25 19:03 |
| steghide-0.5.1.1-r0.apk | 149798 | 2024-Oct-25 19:03 |
| startup-tools-2.0.3-r5.apk | 13630 | 2024-Oct-25 19:03 |
| startup-lang-2.0.3-r5.apk | 16947 | 2024-Oct-25 19:03 |
| startup-fish-completion-2.0.3-r5.apk | 5512 | 2024-Oct-25 19:03 |
| startup-doc-2.0.3-r5.apk | 48733 | 2024-Oct-25 19:03 |
| startup-dev-2.0.3-r5.apk | 5986 | 2024-Oct-25 19:03 |
| startup-bridge-udev-2.0.3-r5.apk | 32649 | 2024-Oct-25 19:03 |
| startup-bridge-dconf-2.0.3-r5.apk | 32875 | 2024-Oct-25 19:03 |
| startup-2.0.3-r5.apk | 452109 | 2024-Oct-25 19:03 |
| starfighter-doc-2.4-r0.apk | 22116 | 2024-Oct-25 19:03 |
| starfighter-2.4-r0.apk | 50193607 | 2024-Oct-25 19:03 |
| sthttpd-openrc-2.27.1-r2.apk | 1997 | 2024-Oct-25 19:03 |
| sthttpd-doc-2.27.1-r2.apk | 18664 | 2024-Oct-25 19:03 |
| sshuttle-pyc-1.1.2-r0.apk | 103117 | 2024-Oct-25 19:03 |
| ssss-doc-0.5.7-r0.apk | 3419 | 2024-Oct-25 19:03 |
| ssss-0.5.7-r0.apk | 12694 | 2024-Oct-25 19:03 |
| sshuttle-doc-1.1.2-r0.apk | 8683 | 2024-Oct-25 19:03 |
| sshuttle-1.1.2-r0.apk | 63744 | 2024-Oct-25 19:03 |
| ssh-tools-1.8-r0.apk | 26211 | 2024-Oct-25 19:03 |
| ssh-honeypot-openrc-0.1.1-r1.apk | 2106 | 2024-Oct-25 19:03 |
| ssh-honeypot-0.1.1-r1.apk | 9092 | 2024-Oct-25 19:03 |
| ssdfs-tools-dev-4.09-r0.apk | 18902 | 2024-Oct-25 19:03 |
| ssdfs-tools-4.09-r0.apk | 96448 | 2024-Oct-25 19:03 |
| sregex-dev-0.0.1-r1.apk | 30344 | 2024-Oct-25 19:03 |
| sregex-0.0.1-r1.apk | 26008 | 2024-Oct-25 19:03 |
| sqlar-0_git20180107-r1.apk | 12800 | 2024-Oct-25 19:03 |
| sqm-scripts-1.6.0-r0.apk | 20962 | 2024-Oct-25 19:03 |
| sqliteodbc-0.99991-r0.apk | 89119 | 2024-Oct-25 19:03 |
| sqlar-doc-0_git20180107-r1.apk | 3387 | 2024-Oct-25 19:03 |
| spread-sheet-widget-0.10-r0.apk | 45250 | 2024-Oct-25 19:03 |
| spotify-tui-0.25.0-r2.apk | 1937410 | 2024-Oct-25 19:03 |
| spnavcfg-1.1-r0.apk | 38495 | 2024-Oct-25 19:03 |
| spiped-1.6.2-r1.apk | 79630 | 2024-Oct-25 19:03 |
| spin-doc-6.5.2-r1.apk | 6028 | 2024-Oct-25 19:03 |
| spin-6.5.2-r1.apk | 370411 | 2024-Oct-25 19:03 |
| spike-1.1.0-r0.apk | 1548725 | 2024-Oct-25 19:03 |
| spice-html5-0.3.0-r1.apk | 448978 | 2024-Oct-25 19:03 |
| speedtest-examples-5.2.5-r1.apk | 13280 | 2024-Oct-25 19:03 |
| speedtest-doc-5.2.5-r1.apk | 18847 | 2024-Oct-25 19:03 |
| speedtest-5.2.5-r1.apk | 259560 | 2024-Oct-25 19:03 |
| speedcrunch-0.12-r3.apk | 1193572 | 2024-Oct-25 19:03 |
| spark-2.8.3-r1.apk | 30331733 | 2024-Oct-25 19:03 |
| spread-sheet-widget-doc-0.10-r0.apk | 4749 | 2024-Oct-25 19:03 |
| spread-sheet-widget-dev-0.10-r0.apk | 372146 | 2024-Oct-25 19:03 |
| spread-sheet-widget-dbg-0.10-r0.apk | 197367 | 2024-Oct-25 19:03 |
| sopwith-2.5.0-r0.apk | 48226 | 2024-Oct-25 19:03 |
| spacenavd-1.2-r0.apk | 32986 | 2024-Oct-25 19:03 |
| sourcegit-8.33-r0.apk | 26840778 | 2024-Oct-25 19:03 |
| sopwith-doc-2.5.0-r0.apk | 15229 | 2024-Oct-25 19:03 |
| somebar-1.0.3-r0.apk | 44412 | 2024-Oct-25 19:03 |
| somebar-doc-1.0.3-r0.apk | 2481 | 2024-Oct-25 19:03 |
| soapy-sdr-remote-doc-0.5.2-r1.apk | 2432 | 2024-Oct-25 19:03 |
| soapy-sdr-remote-0.5.2-r1.apk | 199669 | 2024-Oct-25 19:03 |
| soapy-hackrf-0.3.4-r2.apk | 28694 | 2024-Oct-25 19:03 |
| so-0.4.10-r0.apk | 2092004 | 2024-Oct-25 19:03 |
| snore-doc-0.3.1-r0.apk | 3142 | 2024-Oct-25 19:03 |
| snore-0.3.1-r0.apk | 4538 | 2024-Oct-25 19:03 |
| sndfile-tools-doc-1.5-r1.apk | 369659 | 2024-Oct-25 19:03 |
| sndfile-tools-1.5-r1.apk | 39445 | 2024-Oct-25 19:03 |
| slurm-0.4.4-r0.apk | 14317 | 2024-Oct-25 19:03 |
| sloccount-doc-2.26-r3.apk | 60792 | 2024-Oct-25 19:03 |
| sloccount-2.26-r3.apk | 63807 | 2024-Oct-25 19:03 |
| sipgrep-2.2.0-r1.apk | 26063 | 2024-Oct-25 19:03 |
| slurm-doc-0.4.4-r0.apk | 2315 | 2024-Oct-25 19:03 |
| soapy-sdr-remote-openrc-0.5.2-r1.apk | 1760 | 2024-Oct-25 19:03 |
| simh-3.11.1-r1.apk | 3179018 | 2024-Oct-25 19:03 |
| simavr-dev-1.7-r1.apk | 879239 | 2024-Oct-25 19:03 |
| simavr-1.7-r1.apk | 96020 | 2024-Oct-25 19:03 |
| sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13385 | 2024-Oct-25 19:03 |
| sigrok-cli-doc-0.7.2-r0.apk | 8184 | 2024-Oct-25 19:03 |
| sigrok-cli-0.7.2-r0.apk | 39563 | 2024-Oct-25 19:03 |
| shellinabox-2.21-r3.apk | 120597 | 2024-Oct-25 19:03 |
| sigma-pyc-0.23.1-r1.apk | 348177 | 2024-Oct-25 19:03 |
| sigma-0.23.1-r1.apk | 242462 | 2024-Oct-25 19:03 |
| sigil-lang-2.1.0-r0.apk | 3244509 | 2024-Oct-25 19:03 |
| sigil-2.1.0-r0.apk | 4326990 | 2024-Oct-25 19:03 |
| shutdown-clear-machine-id-1.0.0-r0.apk | 1854 | 2024-Oct-25 19:03 |
| shipments-0.3.0-r0.apk | 24035 | 2024-Oct-25 19:03 |
| shine-3.1.1-r0.apk | 55349 | 2024-Oct-25 19:03 |
| shfm-doc-0.4.2-r1.apk | 6288 | 2024-Oct-25 19:03 |
| shfm-0.4.2-r1.apk | 4137 | 2024-Oct-25 19:03 |
| shellinabox-openrc-2.21-r3.apk | 3607 | 2024-Oct-25 19:03 |
| shellinabox-doc-2.21-r3.apk | 19823 | 2024-Oct-25 19:03 |
| shc-4.0.3-r2.apk | 16943 | 2024-Oct-25 19:03 |
| shadowsocks-libev-doc-3.3.5-r4.apk | 28664 | 2024-Oct-25 19:03 |
| shadowsocks-libev-dev-3.3.5-r4.apk | 3555 | 2024-Oct-25 19:03 |
| shadowsocks-libev-3.3.5-r4.apk | 224067 | 2024-Oct-25 19:03 |
| sgt-puzzles-0_git20230310-r2.apk | 2713753 | 2024-Oct-25 19:03 |
| sflowtool-doc-6.02-r0.apk | 9581 | 2024-Oct-25 19:03 |
| sflowtool-6.02-r0.apk | 40927 | 2024-Oct-25 19:03 |
| setroot-doc-2.0.2-r1.apk | 4551 | 2024-Oct-25 19:03 |
| setroot-2.0.2-r1.apk | 12303 | 2024-Oct-25 19:03 |
| sentinel-minipot-openrc-2.3.0-r1.apk | 2660 | 2024-Oct-25 19:03 |
| sentinel-minipot-2.3.0-r1.apk | 45671 | 2024-Oct-25 19:03 |
| seed7-vim-05.20240322-r0.apk | 4115 | 2024-Oct-25 19:03 |
| seed7-nano-05.20240322-r0.apk | 2531 | 2024-Oct-25 19:03 |
| seed7-doc-05.20240322-r0.apk | 1679984 | 2024-Oct-25 19:03 |
| seed7-05.20240322-r0.apk | 10465371 | 2024-Oct-25 19:03 |
| sedutil-1.15.1-r1.apk | 192213 | 2024-Oct-25 19:03 |
| sedutil-doc-1.15.1-r1.apk | 3137 | 2024-Oct-25 19:03 |
| sdparm-doc-1.12-r1.apk | 19789 | 2024-Oct-25 19:03 |
| sdparm-1.12-r1.apk | 151915 | 2024-Oct-25 19:03 |
| sct-2018.12.18-r1.apk | 3894 | 2024-Oct-25 19:03 |
| sblim-wbemcli-doc-1.6.3-r1.apk | 4637 | 2024-Oct-25 19:03 |
| sblim-sfcc-doc-2.2.8-r3.apk | 35406 | 2024-Oct-25 19:03 |
| sblim-sfcc-dev-2.2.8-r3.apk | 22796 | 2024-Oct-25 19:03 |
| sblim-sfcc-2.2.8-r3.apk | 52594 | 2024-Oct-25 19:03 |
| sblg-doc-0.5.11-r0.apk | 1410348 | 2024-Oct-25 19:03 |
| sblg-0.5.11-r0.apk | 42494 | 2024-Oct-25 19:03 |
| sbase-doc-0_git20210730-r3.apk | 59637 | 2024-Oct-25 19:03 |
| sbase-0_git20210730-r3.apk | 120488 | 2024-Oct-25 19:03 |
| sblim-wbemcli-1.6.3-r1.apk | 101606 | 2024-Oct-25 19:03 |
| scooper-doc-1.3-r1.apk | 2648 | 2024-Oct-25 19:03 |
| scooper-1.3-r1.apk | 508376 | 2024-Oct-25 19:03 |
| scap-workbench-doc-1.2.1-r3.apk | 1664237 | 2024-Oct-25 19:03 |
| scap-workbench-1.2.1-r3.apk | 235426 | 2024-Oct-25 19:03 |
| saait-doc-0.8-r0.apk | 13009 | 2024-Oct-25 19:03 |
| saait-0.8-r0.apk | 6976 | 2024-Oct-25 19:03 |
| rtw89-src-7_p20230725-r0.apk | 776977 | 2024-Oct-25 19:03 |
| s-postgray-doc-0.8.3-r0.apk | 9813 | 2024-Oct-25 19:03 |
| s-postgray-0.8.3-r0.apk | 46424 | 2024-Oct-25 19:03 |
| s-dkim-sign-doc-0.6.2-r0.apk | 8729 | 2024-Oct-25 19:03 |
| s-dkim-sign-0.6.2-r0.apk | 55383 | 2024-Oct-25 19:03 |
| ry-zsh-completion-0.5.2-r1.apk | 2313 | 2024-Oct-25 19:03 |
| ry-bash-completion-0.5.2-r1.apk | 1998 | 2024-Oct-25 19:03 |
| ry-0.5.2-r1.apk | 4730 | 2024-Oct-25 19:03 |
| rvlprog-0.91-r2.apk | 28490 | 2024-Oct-25 19:03 |
| rustscan-2.3.0-r0.apk | 1557760 | 2024-Oct-25 19:03 |
| rustic-zsh-completion-0.9.3-r0.apk | 13341 | 2024-Oct-25 19:03 |
| rustic-fish-completion-0.9.3-r0.apk | 17070 | 2024-Oct-25 19:03 |
| rustic-bash-completion-0.9.3-r0.apk | 8817 | 2024-Oct-25 19:03 |
| rustic-0.9.3-r0.apk | 6385164 | 2024-Oct-25 19:03 |
| rustdesk-server-openrc-1.1.10.3-r0.apk | 2300 | 2024-Oct-25 19:03 |
| rustdesk-server-1.1.10.3-r0.apk | 2486474 | 2024-Oct-25 19:03 |
| rtptools-doc-1.22-r2.apk | 12918 | 2024-Oct-25 19:03 |
| rtptools-1.22-r2.apk | 28842 | 2024-Oct-25 19:03 |
| rtmidi-dev-6.0.0-r0.apk | 14464 | 2024-Oct-25 19:03 |
| rtmidi-6.0.0-r0.apk | 29380 | 2024-Oct-25 19:03 |
| rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4189234 | 2024-Oct-25 19:03 |
| rtl-power-fftw-doc-20200601-r4.apk | 8353 | 2024-Oct-25 19:03 |
| rtl-power-fftw-20200601-r4.apk | 60239 | 2024-Oct-25 19:03 |
| rpg-cli-1.2.0-r0.apk | 600345 | 2024-Oct-25 19:03 |
| rosdep-pyc-0.19.0-r6.apk | 121853 | 2024-Oct-25 19:03 |
| rosdep-0.19.0-r6.apk | 67718 | 2024-Oct-25 19:03 |
| rofi-pass-doc-2.0.2-r2.apk | 5074 | 2024-Oct-25 19:03 |
| rofi-pass-2.0.2-r2.apk | 9056 | 2024-Oct-25 19:03 |
| rofi-json-menu-0.2.0-r1.apk | 5114 | 2024-Oct-25 19:03 |
| rmlint-shredder-pyc-2.10.2-r2.apk | 127484 | 2024-Oct-25 19:03 |
| rmlint-shredder-2.10.2-r2.apk | 98266 | 2024-Oct-25 19:03 |
| rmlint-lang-2.10.2-r2.apk | 19354 | 2024-Oct-25 19:03 |
| rmlint-doc-2.10.2-r2.apk | 18236 | 2024-Oct-25 19:03 |
| rmlint-2.10.2-r2.apk | 144323 | 2024-Oct-25 19:03 |
| restart-services-0.17.0-r0.apk | 11788 | 2024-Oct-25 19:03 |
| rkdeveloptool-1.1.0-r1.apk | 56131 | 2024-Oct-25 19:03 |
| remake-1.5-r1.apk | 140598 | 2024-Oct-25 19:03 |
| remake-dev-1.5-r1.apk | 3001 | 2024-Oct-25 19:03 |
| remake-doc-1.5-r1.apk | 206493 | 2024-Oct-25 19:03 |
| remake-make-1.5-r1.apk | 1572 | 2024-Oct-25 19:03 |
| remind-caldav-0.8.0-r4.apk | 18105 | 2024-Oct-25 19:03 |
| remind-caldav-pyc-0.8.0-r4.apk | 6286 | 2024-Oct-25 19:03 |
| repgrep-0.15.0-r0.apk | 1228344 | 2024-Oct-25 19:03 |
| repgrep-bash-completion-0.15.0-r0.apk | 1678 | 2024-Oct-25 19:03 |
| repgrep-doc-0.15.0-r0.apk | 6652 | 2024-Oct-25 19:03 |
| repgrep-fish-completion-0.15.0-r0.apk | 4247 | 2024-Oct-25 19:03 |
| repgrep-zsh-completion-0.15.0-r0.apk | 1687 | 2024-Oct-25 19:03 |
| reredirect-0.3-r0.apk | 8944 | 2024-Oct-25 19:03 |
| rinetd-openrc-0.73-r0.apk | 1758 | 2024-Oct-25 19:03 |
| rinetd-doc-0.73-r0.apk | 16844 | 2024-Oct-25 19:03 |
| rinetd-0.73-r0.apk | 14327 | 2024-Oct-25 19:03 |
| riemann-cli-0.8.0-r2.apk | 527367 | 2024-Oct-25 19:03 |
| ri-li-2.0.1-r1.apk | 19151320 | 2024-Oct-25 19:03 |
| rhasspy-nlu-pyc-0.4.0-r3.apk | 74614 | 2024-Oct-25 19:03 |
| rhasspy-nlu-0.4.0-r3.apk | 44635 | 2024-Oct-25 19:03 |
| rgxg-doc-0.1.2-r2.apk | 12612 | 2024-Oct-25 19:03 |
| rkdeveloptool-doc-1.1.0-r1.apk | 3025 | 2024-Oct-25 19:03 |
| rgxg-dev-0.1.2-r2.apk | 3609 | 2024-Oct-25 19:03 |
| rgxg-0.1.2-r2.apk | 13570 | 2024-Oct-25 19:03 |
| rezolus-openrc-2.11.1-r3.apk | 2120 | 2024-Oct-25 19:03 |
| rezolus-doc-2.11.1-r3.apk | 3436 | 2024-Oct-25 19:03 |
| rezolus-2.11.1-r3.apk | 907383 | 2024-Oct-25 19:03 |
| restic.mk-0.4.0-r0.apk | 2981 | 2024-Oct-25 19:03 |
| restart-services-doc-0.17.0-r0.apk | 6023 | 2024-Oct-25 19:03 |
| reredirect-doc-0.3-r0.apk | 2905 | 2024-Oct-25 19:03 |
| refind-0.14.2-r0.apk | 1056618 | 2024-Oct-25 19:03 |
| refind-doc-0.14.2-r0.apk | 14621 | 2024-Oct-25 19:03 |
| recoll-doc-1.37.5-r1.apk | 21648 | 2024-Oct-25 19:03 |
| recoll-dev-1.37.5-r1.apk | 54327 | 2024-Oct-25 19:03 |
| recoll-1.37.5-r1.apk | 2867661 | 2024-Oct-25 19:03 |
| reaver-wps-fork-t6x-1.6.6-r1.apk | 452221 | 2024-Oct-25 19:03 |
| rauc-doc-1.10.1-r0.apk | 4268 | 2024-Oct-25 19:02 |
| rattler-build-zsh-completion-0.18.0-r0.apk | 5569 | 2024-Oct-25 19:02 |
| rattler-build-fish-completion-0.18.0-r0.apk | 4831 | 2024-Oct-25 19:02 |
| rattler-build-doc-0.18.0-r0.apk | 6886 | 2024-Oct-25 19:02 |
| rattler-build-bash-completion-0.18.0-r0.apk | 3706 | 2024-Oct-25 19:02 |
| rattler-build-0.18.0-r0.apk | 5908351 | 2024-Oct-25 19:02 |
| rathole-0.5.0-r0.apk | 1415319 | 2024-Oct-25 19:02 |
| rclone-browser-1.8.0-r1.apk | 341624 | 2024-Oct-25 19:02 |
| razercfg-pyc-0.42-r7.apk | 36474 | 2024-Oct-25 19:02 |
| rdedup-3.2.1-r5.apk | 812937 | 2024-Oct-25 19:02 |
| razercfg-openrc-0.42-r7.apk | 1764 | 2024-Oct-25 19:02 |
| razercfg-gui-0.42-r7.apk | 19430 | 2024-Oct-25 19:02 |
| razercfg-0.42-r7.apk | 79433 | 2024-Oct-25 19:02 |
| rauc-service-1.10.1-r0.apk | 3837 | 2024-Oct-25 19:02 |
| rauc-1.10.1-r0.apk | 145950 | 2024-Oct-25 19:02 |
| qucs-s-1.1.0-r1.apk | 3575124 | 2024-Oct-25 19:02 |
| queercat-1.0.0-r0.apk | 7451 | 2024-Oct-25 19:02 |
| qucs-s-lang-1.1.0-r1.apk | 885308 | 2024-Oct-25 19:02 |
| qucs-s-doc-1.1.0-r1.apk | 2422 | 2024-Oct-25 19:02 |
| quakespasm-0.96.3-r0.apk | 475667 | 2024-Oct-25 19:02 |
| qtpass-doc-1.4.0-r0.apk | 2193 | 2024-Oct-25 19:02 |
| qtpass-1.4.0-r0.apk | 430119 | 2024-Oct-25 19:02 |
| qtox-1.17.6-r6.apk | 5282684 | 2024-Oct-25 19:02 |
| qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 4037 | 2024-Oct-25 19:02 |
| qt-wayland-shell-helpers-0.1.1-r3.apk | 13252 | 2024-Oct-25 19:02 |
| qoi-dev-0.0.0_git20230312-r0.apk | 7000 | 2024-Oct-25 19:02 |
| qoiconv-0.0.0_git20230312-r0.apk | 29820 | 2024-Oct-25 19:02 |
| qqc2-suru-style-0.20230206-r1.apk | 176135 | 2024-Oct-25 19:02 |
| qspectrumanalyzer-2.2.0-r5.apk | 55131 | 2024-Oct-25 19:02 |
| qsynth-doc-1.0.2-r0.apk | 4470 | 2024-Oct-25 19:02 |
| qsynth-1.0.2-r0.apk | 436577 | 2024-Oct-25 19:02 |
| qsstv-9.5.8-r2.apk | 997691 | 2024-Oct-25 19:02 |
| qspectrumanalyzer-pyc-2.2.0-r5.apk | 63786 | 2024-Oct-25 19:02 |
| qml-box2d-0_git20180406-r0.apk | 142570 | 2024-Oct-25 19:02 |
| qoi-0.0.0_git20230312-r0.apk | 1506 | 2024-Oct-25 19:02 |
| qflipper-1.3.3-r1.apk | 487461 | 2024-Oct-25 19:02 |
| qdjango-0.6.2-r1.apk | 99677 | 2024-Oct-25 19:02 |
| qdjango-dev-0.6.2-r1.apk | 14398 | 2024-Oct-25 19:02 |
| pyradio-pyc-0.9.3.11-r0.apk | 829775 | 2024-Oct-25 19:02 |
| qflipper-gui-1.3.3-r1.apk | 1117474 | 2024-Oct-25 19:02 |
| pyradio-0.9.3.11-r0.apk | 891698 | 2024-Oct-25 19:02 |
| pyradio-doc-0.9.3.11-r0.apk | 115357 | 2024-Oct-25 19:02 |
| py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 2157 | 2024-Oct-25 19:02 |
| py3-swagger-ui-bundle-1.1.0-r1.apk | 2602513 | 2024-Oct-25 19:02 |
| py3-sstash-pyc-0.17-r9.apk | 10536 | 2024-Oct-25 19:02 |
| py3-sstash-0.17-r9.apk | 7912 | 2024-Oct-25 19:02 |
| py3-spinners-pyc-0.0.24-r5.apk | 6396 | 2024-Oct-25 19:02 |
| py3-spinners-0.0.24-r5.apk | 6235 | 2024-Oct-25 19:02 |
| py3-spin-pyc-0.8-r0.apk | 25073 | 2024-Oct-25 19:02 |
| py3-spin-0.8-r0.apk | 18964 | 2024-Oct-25 19:02 |
| py3-spidev-3.6-r1.apk | 13431 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5787 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 6253 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 5049 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7524 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9519 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7833 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20990 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11595 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk | 24421 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-programoutput-0.17-r5.apk | 16867 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 11459 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8922 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 3222 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-manpage-0.6-r8.apk | 4234 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10854 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-lassodomain-0.4-r8.apk | 8114 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 12235 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-issuetracker-0.11-r7.apk | 11365 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 15443 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10787 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930..> | 44890 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1...> | 21880 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 34703 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4441 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 18532 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 15394 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10809 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk | 7803 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-gravatar-0.1.2-r8.apk | 8053 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6781 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-git-11.0.0-r7.apk | 17542 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3413 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-gist-0.1.0-r9.apk | 4007 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3561 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 9336 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 34306 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 18354 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4353 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5868 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 9372 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7724 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 4183 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5809 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 12265 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-adadomain-0.2-r9.apk | 9222 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk | 9501 | 2024-Oct-25 19:02 |
| py3-sphinxcontrib-actdiag-3.0.0-r4.apk | 7815 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2264 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-readable-1.3.0-r9.apk | 9029 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk | 5640 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-guzzle-0.7.11-r7.apk | 2564870 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2532 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-epfl-1.1.1-r9.apk | 30571 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 43804 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-cloud-1.10.0-r2.apk | 83258 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1879 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-bw-0.1.8-r7.apk | 66316 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk | 2402 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-bootstrap-0.8.1-r4.apk | 1212514 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-better-pyc-0.1.5-r7.apk | 2029 | 2024-Oct-25 19:02 |
| py3-sphinx-theme-better-0.1.5-r7.apk | 10778 | 2024-Oct-25 19:02 |
| py3-sphinx-argparse-pyc-0.5.2-r0.apk | 22649 | 2024-Oct-25 19:02 |
| py3-sphinx-argparse-0.5.2-r0.apk | 14524 | 2024-Oct-25 19:02 |
| py3-spake2-pyc-0.9-r0.apk | 44557 | 2024-Oct-25 19:02 |
| py3-spake2-0.9-r0.apk | 30867 | 2024-Oct-25 19:02 |
| py3-sortedcollections-pyc-2.1.0-r5.apk | 14199 | 2024-Oct-25 19:02 |
| py3-sortedcollections-2.1.0-r5.apk | 10920 | 2024-Oct-25 19:02 |
| py3-solidpython-pyc-1.1.2-r2.apk | 122837 | 2024-Oct-25 19:02 |
| py3-solidpython-1.1.2-r2.apk | 80585 | 2024-Oct-25 19:02 |
| py3-soapy_power-pyc-1.6.1-r5.apk | 27161 | 2024-Oct-25 19:02 |
| py3-soapy_power-1.6.1-r5.apk | 17912 | 2024-Oct-25 19:02 |
| py3-snapshottest-pyc-0.6.0-r5.apk | 26349 | 2024-Oct-25 19:02 |
| py3-snapshottest-0.6.0-r5.apk | 15476 | 2024-Oct-25 19:02 |
| py3-slixmpp-pyc-1.8.5-r2.apk | 746990 | 2024-Oct-25 19:02 |
| py3-slixmpp-doc-1.8.5-r2.apk | 5946 | 2024-Oct-25 19:02 |
| py3-slixmpp-1.8.5-r2.apk | 391351 | 2024-Oct-25 19:02 |
| py3-simplespectral-pyc-1.0.0-r5.apk | 8272 | 2024-Oct-25 19:02 |
| py3-simplespectral-1.0.0-r5.apk | 7682 | 2024-Oct-25 19:02 |
| py3-simplesoapy-pyc-1.5.1-r7.apk | 12057 | 2024-Oct-25 19:02 |
| py3-simplesoapy-1.5.1-r7.apk | 8052 | 2024-Oct-25 19:02 |
| py3-simplesat-pyc-0.8.2-r0.apk | 160330 | 2024-Oct-25 19:02 |
| py3-simplesat-0.8.2-r0.apk | 219168 | 2024-Oct-25 19:02 |
| py3-simplematch-pyc-1.4-r1.apk | 5940 | 2024-Oct-25 19:02 |
| py3-simplematch-1.4-r1.apk | 8190 | 2024-Oct-25 19:02 |
| py3-shodan-pyc-1.31.0-r1.apk | 81049 | 2024-Oct-25 19:02 |
| py3-shodan-doc-1.31.0-r1.apk | 7371 | 2024-Oct-25 19:02 |
| py3-shodan-1.31.0-r1.apk | 45151 | 2024-Oct-25 19:02 |
| py3-setuptools-lint-pyc-0.6.0-r9.apk | 6152 | 2024-Oct-25 19:02 |
| py3-setuptools-lint-0.6.0-r9.apk | 5555 | 2024-Oct-25 19:02 |
| py3-seqdiag-pyc-3.0.0-r5.apk | 43250 | 2024-Oct-25 19:02 |
| py3-seqdiag-3.0.0-r5.apk | 2581803 | 2024-Oct-25 19:02 |
| py3-scs-pyc-3.2.3-r4.apk | 5043 | 2024-Oct-25 19:02 |
| py3-scs-3.2.3-r4.apk | 107509 | 2024-Oct-25 19:02 |
| py3-scrapy-pyc-2.11.1-r1.apk | 493584 | 2024-Oct-25 19:02 |
| py3-scrapy-2.11.1-r1.apk | 245741 | 2024-Oct-25 19:02 |
| py3-scour-pyc-0.38.2-r1.apk | 75544 | 2024-Oct-25 19:02 |
| py3-scour-0.38.2-r1.apk | 57742 | 2024-Oct-25 19:02 |
| py3-rst2ansi-pyc-0.1.5-r0.apk | 24545 | 2024-Oct-25 19:02 |
| py3-rst2ansi-doc-0.1.5-r0.apk | 2291 | 2024-Oct-25 19:02 |
| py3-rst2ansi-0.1.5-r0.apk | 12457 | 2024-Oct-25 19:02 |
| py3-rst.linker-pyc-2.6.0-r0.apk | 6808 | 2024-Oct-25 19:02 |
| py3-rst.linker-2.6.0-r0.apk | 6243 | 2024-Oct-25 19:02 |
| py3-rst-pyc-0.1-r9.apk | 6260 | 2024-Oct-25 19:02 |
| py3-rst-0.1-r9.apk | 5697 | 2024-Oct-25 19:02 |
| py3-rpio-pyc-0.10.1-r8.apk | 16334 | 2024-Oct-25 19:02 |
| py3-rpio-0.10.1-r8.apk | 36028 | 2024-Oct-25 19:02 |
| py3-rospkg-pyc-1.2.9-r5.apk | 55426 | 2024-Oct-25 19:02 |
| py3-rospkg-1.2.9-r5.apk | 29490 | 2024-Oct-25 19:02 |
| py3-rosdistro-pyc-0.9.0-r3.apk | 93452 | 2024-Oct-25 19:02 |
| py3-rosdistro-0.9.0-r3.apk | 48196 | 2024-Oct-25 19:02 |
| py3-riotctrl-pyc-0.5.0-r4.apk | 10966 | 2024-Oct-25 19:02 |
| py3-riotctrl-0.5.0-r4.apk | 13352 | 2024-Oct-25 19:02 |
| py3-rich-click-pyc-1.7.3-r1.apk | 41301 | 2024-Oct-25 19:02 |
| py3-rich-click-1.7.3-r1.apk | 31470 | 2024-Oct-25 19:02 |
| py3-rfc-bibtex-pyc-0.3.2-r7.apk | 12744 | 2024-Oct-25 19:02 |
| py3-rfc-bibtex-0.3.2-r7.apk | 13176 | 2024-Oct-25 19:02 |
| py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6757 | 2024-Oct-25 19:02 |
| py3-requests-wsgi-adapter-0.4.1-r1.apk | 5647 | 2024-Oct-25 19:02 |
| py3-redmine-pyc-2.5.0-r0.apk | 54876 | 2024-Oct-25 19:02 |
| py3-redmine-2.5.0-r0.apk | 37668 | 2024-Oct-25 19:02 |
| py3-recommonmark-0.7.1-r4.apk | 12024 | 2024-Oct-25 19:02 |
| py3-radon-pyc-6.0.1-r2.apk | 50758 | 2024-Oct-25 19:02 |
| py3-radon-doc-6.0.1-r2.apk | 5264 | 2024-Oct-25 19:02 |
| py3-radon-6.0.1-r2.apk | 32275 | 2024-Oct-25 19:02 |
| py3-rabbit-pyc-1.1.0-r8.apk | 15860 | 2024-Oct-25 19:02 |
| py3-rabbit-1.1.0-r8.apk | 11199 | 2024-Oct-25 19:02 |
| py3-quebra-frases-pyc-0.3.7-r1.apk | 8003 | 2024-Oct-25 19:02 |
| py3-quebra-frases-0.3.7-r1.apk | 9027 | 2024-Oct-25 19:02 |
| py3-qt.py-pyc-1.3.10-r1.apk | 25283 | 2024-Oct-25 19:02 |
| py3-qt.py-1.3.10-r1.apk | 33474 | 2024-Oct-25 19:02 |
| py3-qpageview-pyc-0.6.2-r1.apk | 184552 | 2024-Oct-25 19:02 |
| py3-qpageview-doc-0.6.2-r1.apk | 57643 | 2024-Oct-25 19:02 |
| py3-qpageview-0.6.2-r1.apk | 100402 | 2024-Oct-25 19:02 |
| py3-recommonmark-pyc-0.7.1-r4.apk | 17927 | 2024-Oct-25 19:02 |
| py3-zope-schema-pyc-7.0.1-r3.apk | 62533 | 2024-Oct-25 19:02 |
| py3-zope-schema-7.0.1-r3.apk | 46345 | 2024-Oct-25 19:02 |
| py3-zope-i18nmessageid-pyc-6.1.0-r2.apk | 8179 | 2024-Oct-25 19:02 |
| py3-zope-i18nmessageid-6.1.0-r2.apk | 15766 | 2024-Oct-25 19:02 |
| py3-zope-configuration-pyc-5.0.1-r2.apk | 49689 | 2024-Oct-25 19:02 |
| py3-zope-configuration-5.0.1-r2.apk | 40076 | 2024-Oct-25 19:02 |
| py3-zipfile2-pyc-0.0.12-r0.apk | 29625 | 2024-Oct-25 19:02 |
| py3-zipfile2-0.0.12-r0.apk | 46241 | 2024-Oct-25 19:02 |
| py3-yapsy-pyc-1.12.2-r7.apk | 48270 | 2024-Oct-25 19:02 |
| py3-yapsy-1.12.2-r7.apk | 33012 | 2024-Oct-25 19:02 |
| py3-wtf-peewee-pyc-3.0.6-r0.apk | 25251 | 2024-Oct-25 19:02 |
| py3-wtf-peewee-3.0.6-r0.apk | 13021 | 2024-Oct-25 19:02 |
| py3-wstools-pyc-0.4.10-r7.apk | 113409 | 2024-Oct-25 19:02 |
| py3-wstools-0.4.10-r7.apk | 54481 | 2024-Oct-25 19:02 |
| py3-wsgiprox-pyc-1.5.2-r1.apk | 28848 | 2024-Oct-25 19:02 |
| py3-wsgiprox-1.5.2-r1.apk | 17077 | 2024-Oct-25 19:02 |
| py3-wifi-pyc-0.3.8-r7.apk | 14066 | 2024-Oct-25 19:02 |
| py3-wifi-0.3.8-r7.apk | 13322 | 2024-Oct-25 19:02 |
| py3-wg-netns-pyc-2.3.1-r1.apk | 13708 | 2024-Oct-25 19:02 |
| py3-wg-netns-2.3.1-r1.apk | 7749 | 2024-Oct-25 19:02 |
| py3-webrtcvad-pyc-2.0.10-r1.apk | 2856 | 2024-Oct-25 19:02 |
| py3-webrtcvad-2.0.10-r1.apk | 24063 | 2024-Oct-25 19:02 |
| py3-wbdata-pyc-1.0.0-r1.apk | 20046 | 2024-Oct-25 19:02 |
| py3-wbdata-1.0.0-r1.apk | 18938 | 2024-Oct-25 19:02 |
| py3-ward-pyc-0.67.0_beta0-r2.apk | 81463 | 2024-Oct-25 19:02 |
| py3-ward-0.67.0_beta0-r2.apk | 41687 | 2024-Oct-25 19:02 |
| py3-visitor-pyc-0.1.3-r7.apk | 2685 | 2024-Oct-25 19:02 |
| py3-visitor-0.1.3-r7.apk | 4736 | 2024-Oct-25 19:02 |
| py3-vatnumber-pyc-1.2-r9.apk | 8831 | 2024-Oct-25 19:02 |
| py3-vatnumber-1.2-r9.apk | 19071 | 2024-Oct-25 19:02 |
| py3-utc-pyc-0.0.3-r9.apk | 2826 | 2024-Oct-25 19:02 |
| py3-utc-0.0.3-r9.apk | 3555 | 2024-Oct-25 19:02 |
| py3-us-pyc-3.2.0-r0.apk | 15558 | 2024-Oct-25 19:02 |
| py3-us-3.2.0-r0.apk | 14658 | 2024-Oct-25 19:02 |
| py3-urlobject-pyc-2.4.3-r9.apk | 25272 | 2024-Oct-25 19:02 |
| py3-urlobject-2.4.3-r9.apk | 15114 | 2024-Oct-25 19:02 |
| py3-uptime-pyc-3.0.1-r9.apk | 8907 | 2024-Oct-25 19:02 |
| py3-uptime-3.0.1-r9.apk | 9794 | 2024-Oct-25 19:02 |
| py3-uacme-desec-pyc-1.2.1-r0.apk | 6931 | 2024-Oct-25 19:02 |
| py3-uacme-desec-doc-1.2.1-r0.apk | 2247 | 2024-Oct-25 19:02 |
| py3-uacme-desec-1.2.1-r0.apk | 5840 | 2024-Oct-25 19:02 |
| py3-u-msgpack-pyc-2.8.0-r2.apk | 16623 | 2024-Oct-25 19:02 |
| py3-u-msgpack-2.8.0-r2.apk | 11170 | 2024-Oct-25 19:02 |
| py3-typing_inspect-pyc-0.9.0-r2.apk | 14569 | 2024-Oct-25 19:02 |
| py3-typing_inspect-0.9.0-r2.apk | 10150 | 2024-Oct-25 19:02 |
| py3-twiggy-pyc-0.5.1-r4.apk | 39609 | 2024-Oct-25 19:02 |
| py3-twiggy-0.5.1-r4.apk | 24708 | 2024-Oct-25 19:02 |
| py3-trivup-pyc-0.12.2-r2.apk | 56227 | 2024-Oct-25 19:02 |
| py3-trivup-0.12.2-r2.apk | 34839 | 2024-Oct-25 19:02 |
| py3-trimesh-pyc-3.22.1-r2.apk | 748844 | 2024-Oct-25 19:02 |
| py3-trimesh-3.22.1-r2.apk | 644592 | 2024-Oct-25 19:02 |
| py3-translationstring-pyc-1.4-r4.apk | 8978 | 2024-Oct-25 19:02 |
| py3-translationstring-1.4-r4.apk | 9373 | 2024-Oct-25 19:02 |
| py3-transitions-pyc-0.9.2-r0.apk | 131887 | 2024-Oct-25 19:02 |
| py3-transitions-0.9.2-r0.apk | 100081 | 2024-Oct-25 19:02 |
| py3-tpm2-pytss-pyc-2.3.0-r1.apk | 241766 | 2024-Oct-25 19:02 |
| py3-tpm2-pytss-2.3.0-r1.apk | 298693 | 2024-Oct-25 19:02 |
| py3-tlslite-ng-pyc-0.7.6-r8.apk | 281365 | 2024-Oct-25 19:02 |
| py3-tlslite-ng-0.7.6-r8.apk | 182786 | 2024-Oct-25 19:02 |
| py3-timeago-pyc-1.0.16-r0.apk | 28323 | 2024-Oct-25 19:02 |
| py3-timeago-doc-1.0.16-r0.apk | 2916 | 2024-Oct-25 19:02 |
| py3-timeago-1.0.16-r0.apk | 24164 | 2024-Oct-25 19:02 |
| py3-ticket-auth-pyc-0.1.4-r9.apk | 6529 | 2024-Oct-25 19:02 |
| py3-ticket-auth-0.1.4-r9.apk | 6096 | 2024-Oct-25 19:02 |
| py3-thefuzz-pyc-0.22.1-r1.apk | 9166 | 2024-Oct-25 19:02 |
| py3-thefuzz-0.22.1-r1.apk | 10252 | 2024-Oct-25 19:02 |
| py3-testresources-pyc-2.0.1-r6.apk | 16336 | 2024-Oct-25 19:02 |
| py3-testresources-2.0.1-r6.apk | 17766 | 2024-Oct-25 19:02 |
| py3-teletype-pyc-1.3.4-r3.apk | 21021 | 2024-Oct-25 19:02 |
| py3-teletype-1.3.4-r3.apk | 15775 | 2024-Oct-25 19:02 |
| py3-telemetrix-pyc-1.20-r3.apk | 31785 | 2024-Oct-25 19:02 |
| py3-telemetrix-1.20-r3.apk | 21442 | 2024-Oct-25 19:02 |
| py3-telegram-text-pyc-0.2.0-r1.apk | 13262 | 2024-Oct-25 19:02 |
| py3-telegram-text-0.2.0-r1.apk | 9410 | 2024-Oct-25 19:02 |
| py3-tasklib-pyc-2.5.1-r2.apk | 52762 | 2024-Oct-25 19:02 |
| py3-tasklib-2.5.1-r2.apk | 23655 | 2024-Oct-25 19:02 |
| py3-tailer-pyc-0.4.1-r7.apk | 6794 | 2024-Oct-25 19:02 |
| py3-tailer-0.4.1-r7.apk | 7036 | 2024-Oct-25 19:02 |
| py3-openapi-codec-1.3.2-r9.apk | 7831 | 2024-Oct-25 19:02 |
| py3-qasync-0.19.0-r2.apk | 37754 | 2024-Oct-25 19:02 |
| py3-python-stdnum-pyc-1.20-r0.apk | 300542 | 2024-Oct-25 19:02 |
| py3-python-stdnum-1.20-r0.apk | 825052 | 2024-Oct-25 19:02 |
| py3-python-logstash-pyc-0.4.8-r4.apk | 8616 | 2024-Oct-25 19:02 |
| py3-python-logstash-doc-0.4.8-r4.apk | 2313 | 2024-Oct-25 19:02 |
| py3-python-logstash-0.4.8-r4.apk | 8808 | 2024-Oct-25 19:02 |
| py3-python-iptables-pyc-1.0.1-r1.apk | 69533 | 2024-Oct-25 19:02 |
| py3-python-iptables-1.0.1-r1.apk | 39438 | 2024-Oct-25 19:02 |
| py3-python-archive-pyc-0.2-r7.apk | 9573 | 2024-Oct-25 19:02 |
| py3-python-archive-0.2-r7.apk | 7571 | 2024-Oct-25 19:02 |
| py3-pytest-subprocess-pyc-1.5.2-r0.apk | 25100 | 2024-Oct-25 19:02 |
| py3-pytest-subprocess-1.5.2-r0.apk | 19976 | 2024-Oct-25 19:02 |
| py3-pytest-metadata-pyc-3.1.1-r0.apk | 8085 | 2024-Oct-25 19:02 |
| py3-pytest-metadata-3.1.1-r0.apk | 10438 | 2024-Oct-25 19:02 |
| py3-pytest-html-pyc-4.1.1-r1.apk | 22274 | 2024-Oct-25 19:02 |
| py3-pytest-html-4.1.1-r1.apk | 22145 | 2024-Oct-25 19:02 |
| py3-pytest-home-pyc-0.6.0-r0.apk | 2867 | 2024-Oct-25 19:02 |
| py3-pytest-home-0.6.0-r0.apk | 4642 | 2024-Oct-25 19:02 |
| py3-pytest-expect-pyc-1.1.0-r10.apk | 7122 | 2024-Oct-25 19:02 |
| py3-pytest-expect-1.1.0-r10.apk | 6035 | 2024-Oct-25 19:02 |
| py3-pytap2-pyc-2.3.0-r0.apk | 6130 | 2024-Oct-25 19:02 |
| py3-pytap2-doc-2.3.0-r0.apk | 2861 | 2024-Oct-25 19:02 |
| py3-pytap2-2.3.0-r0.apk | 7137 | 2024-Oct-25 19:02 |
| py3-pystache-pyc-0.6.5-r1.apk | 98988 | 2024-Oct-25 19:02 |
| py3-pystache-0.6.5-r1.apk | 69740 | 2024-Oct-25 19:02 |
| py3-pyspinel-pyc-1.0.3-r1.apk | 64550 | 2024-Oct-25 19:02 |
| py3-pyspinel-1.0.3-r1.apk | 57357 | 2024-Oct-25 19:02 |
| py3-pysimplesoap-pyc-1.16.2-r7.apk | 80048 | 2024-Oct-25 19:02 |
| py3-pysimplesoap-1.16.2-r7.apk | 44714 | 2024-Oct-25 19:02 |
| py3-pyscreeze-pyc-0.1.29-r3.apk | 14529 | 2024-Oct-25 19:02 |
| py3-pyscreeze-0.1.29-r3.apk | 14446 | 2024-Oct-25 19:02 |
| py3-pyroma-pyc-4.2-r0.apk | 26574 | 2024-Oct-25 19:02 |
| py3-pyroma-4.2-r0.apk | 22397 | 2024-Oct-25 19:02 |
| py3-pyrebase-pyc-3.0.27-r5.apk | 17979 | 2024-Oct-25 19:02 |
| py3-pyrebase-3.0.27-r5.apk | 9855 | 2024-Oct-25 19:02 |
| py3-pyqrcode-pyc-1.2.1-r0.apk | 48407 | 2024-Oct-25 19:02 |
| py3-pyqrcode-doc-1.2.1-r0.apk | 4406 | 2024-Oct-25 19:02 |
| py3-pyqrcode-1.2.1-r0.apk | 37436 | 2024-Oct-25 19:02 |
| py3-pypubsub-pyc-4.0.3-r0.apk | 92073 | 2024-Oct-25 19:02 |
| py3-pypubsub-doc-4.0.3-r0.apk | 2192 | 2024-Oct-25 19:02 |
| py3-pypubsub-4.0.3-r0.apk | 53644 | 2024-Oct-25 19:02 |
| py3-pyparted-pyc-3.13.0-r1.apk | 43182 | 2024-Oct-25 19:02 |
| py3-pyparted-3.13.0-r1.apk | 78254 | 2024-Oct-25 19:02 |
| py3-pymsgbox-pyc-1.0.9-r5.apk | 10081 | 2024-Oct-25 19:02 |
| py3-pymsgbox-1.0.9-r5.apk | 9382 | 2024-Oct-25 19:02 |
| py3-pymeta3-pyc-0.5.1-r6.apk | 32307 | 2024-Oct-25 19:02 |
| py3-pymeta3-0.5.1-r6.apk | 17148 | 2024-Oct-25 19:02 |
| py3-pymata4-pyc-1.15-r4.apk | 31566 | 2024-Oct-25 19:02 |
| py3-pymata4-1.15-r4.apk | 23602 | 2024-Oct-25 19:02 |
| py3-pymata-pyc-2.20-r4.apk | 30099 | 2024-Oct-25 19:02 |
| py3-pymata-2.20-r4.apk | 23038 | 2024-Oct-25 19:02 |
| py3-pymaging-pyc-0.0.20130908-r10.apk | 32434 | 2024-Oct-25 19:02 |
| py3-pymaging-png-pyc-0.0.20130727-r10.apk | 53167 | 2024-Oct-25 19:02 |
| py3-pymaging-png-0.0.20130727-r10.apk | 35857 | 2024-Oct-25 19:02 |
| py3-pymaging-0.0.20130908-r10.apk | 18416 | 2024-Oct-25 19:02 |
| py3-pylru-pyc-1.2.1-r1.apk | 9160 | 2024-Oct-25 19:02 |
| py3-pylru-1.2.1-r1.apk | 16965 | 2024-Oct-25 19:02 |
| py3-pyisbn-pyc-1.3.1-r3.apk | 9632 | 2024-Oct-25 19:02 |
| py3-pyisbn-1.3.1-r3.apk | 20937 | 2024-Oct-25 19:02 |
| py3-pyinstaller-pyc-6.6.0-r0.apk | 514304 | 2024-Oct-25 19:02 |
| py3-pyinstaller-6.6.0-r0.apk | 1979415 | 2024-Oct-25 19:02 |
| py3-pygtail-pyc-0.14.0-r3.apk | 10336 | 2024-Oct-25 19:02 |
| py3-pygtail-0.14.0-r3.apk | 15347 | 2024-Oct-25 19:02 |
| py3-pygpgme-pyc-0.3.1-r9.apk | 5189 | 2024-Oct-25 19:02 |
| py3-pygpgme-0.3.1-r9.apk | 36905 | 2024-Oct-25 19:02 |
| py3-pygfm-pyc-2.0.0-r2.apk | 13520 | 2024-Oct-25 19:02 |
| py3-pygfm-2.0.0-r2.apk | 13032 | 2024-Oct-25 19:02 |
| py3-pydes-pyc-2.0.1-r5.apk | 13489 | 2024-Oct-25 19:02 |
| py3-pydes-doc-2.0.1-r5.apk | 3730 | 2024-Oct-25 19:02 |
| py3-pydes-2.0.1-r5.apk | 10937 | 2024-Oct-25 19:02 |
| py3-pycosat-0.6.6-r2.apk | 46315 | 2024-Oct-25 19:02 |
| py3-pycolorterm-pyc-0.2.1-r6.apk | 3816 | 2024-Oct-25 19:02 |
| py3-pycolorterm-0.2.1-r6.apk | 5587 | 2024-Oct-25 19:02 |
| py3-pycaption-2.2.15-r0.apk | 368716 | 2024-Oct-25 19:02 |
| py3-pybars3-pyc-0.9.7-r6.apk | 17240 | 2024-Oct-25 19:02 |
| py3-pybars3-0.9.7-r6.apk | 15199 | 2024-Oct-25 19:02 |
| py3-pyautogui-pyc-0.9.53-r5.apk | 46360 | 2024-Oct-25 19:02 |
| py3-pyautogui-0.9.53-r5.apk | 35908 | 2024-Oct-25 19:02 |
| py3-pyatem-pyc-0.5.0-r4.apk | 93820 | 2024-Oct-25 19:02 |
| py3-pyatem-0.5.0-r4.apk | 53510 | 2024-Oct-25 19:02 |
| py3-py-radix-pyc-0.10.0-r10.apk | 10880 | 2024-Oct-25 19:02 |
| py3-py-radix-0.10.0-r10.apk | 19549 | 2024-Oct-25 19:02 |
| py3-pure_protobuf-pyc-3.1.2-r0.apk | 38583 | 2024-Oct-25 19:02 |
| py3-pure_protobuf-3.1.2-r0.apk | 21626 | 2024-Oct-25 19:02 |
| py3-proglog-pyc-0.1.10-r2.apk | 10158 | 2024-Oct-25 19:02 |
| py3-proglog-0.1.10-r2.apk | 7557 | 2024-Oct-25 19:02 |
| py3-print-color-pyc-0.4.6-r0.apk | 5088 | 2024-Oct-25 19:02 |
| py3-print-color-doc-0.4.6-r0.apk | 2681 | 2024-Oct-25 19:02 |
| py3-print-color-0.4.6-r0.apk | 9070 | 2024-Oct-25 19:02 |
| py3-pprintpp-pyc-0.4.0-r1.apk | 16666 | 2024-Oct-25 19:02 |
| py3-pprintpp-0.4.0-r1.apk | 14241 | 2024-Oct-25 19:02 |
| py3-pockethernet-pyc-0.7.0-r4.apk | 25812 | 2024-Oct-25 19:02 |
| py3-pockethernet-0.7.0-r4.apk | 15139 | 2024-Oct-25 19:02 |
| py3-playsound-pyc-1.3.0-r1.apk | 8748 | 2024-Oct-25 19:02 |
| py3-playsound-1.3.0-r1.apk | 7025 | 2024-Oct-25 19:02 |
| py3-pip-system-certs-pyc-4.0-r1.apk | 4766 | 2024-Oct-25 19:02 |
| py3-pip-system-certs-4.0-r1.apk | 7154 | 2024-Oct-25 19:02 |
| py3-pillow_heif-pyc-0.18.0-r0.apk | 36388 | 2024-Oct-25 19:02 |
| py3-pillow_heif-0.18.0-r0.apk | 44340 | 2024-Oct-25 19:02 |
| py3-pickle-secure-pyc-0.99.9-r1.apk | 5488 | 2024-Oct-25 19:02 |
| py3-pickle-secure-0.99.9-r1.apk | 7679 | 2024-Oct-25 19:02 |
| py3-piccata-pyc-2.0.3-r1.apk | 35044 | 2024-Oct-25 19:02 |
| py3-piccata-2.0.3-r1.apk | 20566 | 2024-Oct-25 19:02 |
| py3-phx-class-registry-pyc-5.0.0-r0.apk | 17821 | 2024-Oct-25 19:02 |
| py3-phx-class-registry-doc-5.0.0-r0.apk | 2276 | 2024-Oct-25 19:02 |
| py3-phx-class-registry-5.0.0-r0.apk | 13455 | 2024-Oct-25 19:02 |
| py3-phpserialize-pyc-1.3-r8.apk | 10954 | 2024-Oct-25 19:02 |
| py3-phpserialize-1.3-r8.apk | 9064 | 2024-Oct-25 19:02 |
| py3-pep8-naming-pyc-0.14.1-r0.apk | 13508 | 2024-Oct-25 19:02 |
| py3-pep8-naming-0.14.1-r0.apk | 10003 | 2024-Oct-25 19:02 |
| py3-pelican-pyc-4.9.1-r2.apk | 150834 | 2024-Oct-25 19:02 |
| py3-pelican-4.9.1-r2.apk | 239329 | 2024-Oct-25 19:02 |
| py3-pbkdf2-pyc-1.3-r7.apk | 7247 | 2024-Oct-25 19:02 |
| py3-pbkdf2-1.3-r7.apk | 6446 | 2024-Oct-25 19:02 |
| py3-pam-pyc-2.0.2-r2.apk | 13279 | 2024-Oct-25 19:02 |
| py3-pam-2.0.2-r2.apk | 11458 | 2024-Oct-25 19:02 |
| py3-padacioso-pyc-0.2.1-r0.apk | 12570 | 2024-Oct-25 19:02 |
| py3-padacioso-0.2.1-r0.apk | 11719 | 2024-Oct-25 19:02 |
| py3-pacparser-pyc-1.4.5-r1.apk | 4134 | 2024-Oct-25 19:02 |
| py3-pacparser-1.4.5-r1.apk | 418114 | 2024-Oct-25 19:02 |
| py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk | 4491 | 2024-Oct-25 19:02 |
| py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk | 4630 | 2024-Oct-25 19:02 |
| py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1...> | 5576 | 2024-Oct-25 19:02 |
| py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk | 9742 | 2024-Oct-25 19:02 |
| py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk | 11678 | 2024-Oct-25 19:02 |
| py3-ovos-tts-plugin-piper-0.0.1-r0.apk | 12529 | 2024-Oct-25 19:02 |
| py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk | 4206 | 2024-Oct-25 19:02 |
| py3-ovos-translate-server-plugin-0.0.0-r0.apk | 8534 | 2024-Oct-25 19:02 |
| py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6931 | 2024-Oct-25 19:02 |
| py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 10326 | 2024-Oct-25 19:02 |
| py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4477 | 2024-Oct-25 19:02 |
| py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4634 | 2024-Oct-25 19:02 |
| py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk | 3482 | 2024-Oct-25 19:02 |
| py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk | 8265 | 2024-Oct-25 19:02 |
| py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk | 106054 | 2024-Oct-25 19:02 |
| py3-ovos-ocp-files-plugin-0.13.1-r0.apk | 48093 | 2024-Oct-25 19:02 |
| py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk | 88106 | 2024-Oct-25 19:02 |
| py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk | 563434 | 2024-Oct-25 19:02 |
| py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk | 447179 | 2024-Oct-25 19:02 |
| py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk | 366366 | 2024-Oct-25 19:02 |
| py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk | 167448 | 2024-Oct-25 19:02 |
| py3-ovos-classifiers-0.0.0_alpha53-r0.apk | 105447 | 2024-Oct-25 19:02 |
| py3-ovos-backend-client-pyc-1.0.0-r0.apk | 93237 | 2024-Oct-25 19:02 |
| py3-ovos-backend-client-1.0.0-r0.apk | 47016 | 2024-Oct-25 19:02 |
| py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk | 8417 | 2024-Oct-25 19:02 |
| py3-ovos-audio-plugin-simple-0.0.1-r0.apk | 10205 | 2024-Oct-25 19:02 |
| py3-osqp-pyc-0.6.2-r6.apk | 78349 | 2024-Oct-25 19:02 |
| py3-osqp-dev-0.6.2-r6.apk | 50220 | 2024-Oct-25 19:02 |
| py3-osqp-0.6.2-r6.apk | 106683 | 2024-Oct-25 19:02 |
| py3-orderedmultidict-pyc-1.0.1-r7.apk | 17616 | 2024-Oct-25 19:02 |
| py3-orderedmultidict-1.0.1-r7.apk | 12150 | 2024-Oct-25 19:02 |
| py3-openwisp-utils-pyc-1.0.4-r4.apk | 43278 | 2024-Oct-25 19:02 |
| py3-openwisp-utils-1.0.4-r4.apk | 509582 | 2024-Oct-25 19:02 |
| py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10677 | 2024-Oct-25 19:02 |
| py3-openssh-wrapper-0.5_git20130425-r4.apk | 8444 | 2024-Oct-25 19:02 |
| py3-openapi-codec-pyc-1.3.2-r9.apk | 12015 | 2024-Oct-25 19:02 |
| py3-qdldl-0.1.5-r4.apk | 96004 | 2024-Oct-25 19:02 |
| py3-nose-timer-1.0.1-r6.apk | 9597 | 2024-Oct-25 19:02 |
| py3-nwdiag-pyc-3.0.0-r3.apk | 79745 | 2024-Oct-25 19:02 |
| py3-nwdiag-3.0.0-r3.apk | 5155507 | 2024-Oct-25 19:02 |
| py3-ntplib-pyc-0.4.0-r5.apk | 8831 | 2024-Oct-25 19:02 |
| py3-ntplib-0.4.0-r5.apk | 7574 | 2024-Oct-25 19:02 |
| py3-nptyping-pyc-2.5.0-r3.apk | 32798 | 2024-Oct-25 19:02 |
| py3-nptyping-2.5.0-r3.apk | 21853 | 2024-Oct-25 19:02 |
| py3-notifymail-pyc-1.1-r8.apk | 5881 | 2024-Oct-25 19:02 |
| py3-notifymail-1.1-r8.apk | 7758 | 2024-Oct-25 19:02 |
| py3-nose-timer-pyc-1.0.1-r6.apk | 10153 | 2024-Oct-25 19:02 |
| py3-nmap-pyc-0.7.1-r4.apk | 26091 | 2024-Oct-25 19:02 |
| py3-nmap-0.7.1-r4.apk | 20837 | 2024-Oct-25 19:02 |
| py3-netifaces2-pyc-0.0.22-r0.apk | 9432 | 2024-Oct-25 19:02 |
| py3-netifaces2-0.0.22-r0.apk | 193551 | 2024-Oct-25 19:02 |
| py3-ncclient-pyc-0.6.13-r5.apk | 109074 | 2024-Oct-25 19:02 |
| py3-ncclient-0.6.13-r5.apk | 69854 | 2024-Oct-25 19:02 |
| py3-natpmp-pyc-1.3.2-r1.apk | 10098 | 2024-Oct-25 19:02 |
| py3-natpmp-1.3.2-r1.apk | 9639 | 2024-Oct-25 19:02 |
| py3-moviepy-pyc-1.0.3-r6.apk | 159264 | 2024-Oct-25 19:02 |
| py3-moviepy-1.0.3-r6.apk | 96763 | 2024-Oct-25 19:02 |
| py3-more-properties-pyc-1.1.1-r3.apk | 8421 | 2024-Oct-25 19:02 |
| py3-more-properties-1.1.1-r3.apk | 7613 | 2024-Oct-25 19:02 |
| py3-mopidy-tidal-pyc-0.3.2-r6.apk | 36488 | 2024-Oct-25 19:02 |
| py3-mopidy-tidal-0.3.2-r6.apk | 25347 | 2024-Oct-25 19:02 |
| py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk | 40041 | 2024-Oct-25 19:02 |
| py3-mopidy-spotify-5.0.0_alpha3-r0.apk | 24835 | 2024-Oct-25 19:02 |
| py3-mopidy-mpd-pyc-3.3.0-r4.apk | 75589 | 2024-Oct-25 19:02 |
| py3-mopidy-mpd-3.3.0-r4.apk | 47118 | 2024-Oct-25 19:02 |
| py3-mopidy-jellyfin-pyc-1.0.4-r4.apk | 37526 | 2024-Oct-25 19:02 |
| py3-mopidy-jellyfin-1.0.4-r4.apk | 25131 | 2024-Oct-25 19:02 |
| py3-modbus-tk-pyc-1.1.1-r4.apk | 49649 | 2024-Oct-25 19:02 |
| py3-modbus-tk-1.1.1-r4.apk | 25362 | 2024-Oct-25 19:02 |
| py3-mnemonic-pyc-0.21-r0.apk | 9922 | 2024-Oct-25 19:02 |
| py3-mnemonic-doc-0.21-r0.apk | 2458 | 2024-Oct-25 19:02 |
| py3-mnemonic-0.21-r0.apk | 97327 | 2024-Oct-25 19:02 |
| py3-migen-pyc-0.9.2-r2.apk | 302742 | 2024-Oct-25 19:02 |
| py3-migen-0.9.2-r2.apk | 146036 | 2024-Oct-25 19:02 |
| py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4560 | 2024-Oct-25 19:02 |
| py3-marshmallow-enum-1.5.1-r7.apk | 5422 | 2024-Oct-25 19:02 |
| py3-markdown2-pyc-2.5.0-r0.apk | 77298 | 2024-Oct-25 19:02 |
| py3-markdown2-2.5.0-r0.apk | 48351 | 2024-Oct-25 19:02 |
| py3-mapbox-earcut-1.0.1-r2.apk | 60697 | 2024-Oct-25 19:02 |
| py3-mando-pyc-0.7.1-r3.apk | 36828 | 2024-Oct-25 19:02 |
| py3-mando-doc-0.7.1-r3.apk | 4294 | 2024-Oct-25 19:02 |
| py3-mando-0.7.1-r3.apk | 22669 | 2024-Oct-25 19:02 |
| py3-m2crypto-pyc-0.41.0-r2.apk | 125548 | 2024-Oct-25 19:02 |
| py3-m2crypto-0.41.0-r2.apk | 194655 | 2024-Oct-25 19:02 |
| py3-lzo-pyc-1.16-r1.apk | 2014 | 2024-Oct-25 19:02 |
| py3-lzo-1.16-r1.apk | 16771 | 2024-Oct-25 19:02 |
| py3-lunr-pyc-0.6.2-r4.apk | 51838 | 2024-Oct-25 19:02 |
| py3-lunr-0.6.2-r4.apk | 33268 | 2024-Oct-25 19:02 |
| py3-luhn-pyc-0.2.0-r9.apk | 2693 | 2024-Oct-25 19:02 |
| py3-luhn-0.2.0-r9.apk | 4071 | 2024-Oct-25 19:02 |
| py3-lsprotocol-pyc-2023.0.1-r1.apk | 109688 | 2024-Oct-25 19:02 |
| py3-lsprotocol-2023.0.1-r1.apk | 71159 | 2024-Oct-25 19:02 |
| py3-lsp-black-pyc-2.0.0-r1.apk | 6524 | 2024-Oct-25 19:02 |
| py3-lsp-black-2.0.0-r1.apk | 7686 | 2024-Oct-25 19:02 |
| py3-log-symbols-pyc-0.0.14-r5.apk | 3145 | 2024-Oct-25 19:02 |
| py3-log-symbols-0.0.14-r5.apk | 4306 | 2024-Oct-25 19:02 |
| py3-litex-pyc-2024.04-r0.apk | 2761093 | 2024-Oct-25 19:02 |
| py3-litex-hub-valentyusb-2024.04-r0.apk | 114198 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-software-picolibc-2024...> | 4914192 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-software-compiler_rt-20..> | 2308334 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0...> | 59340 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.0..> | 2521220 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0..> | 729740 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk | 691413 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk | 59549451 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0..> | 7739 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-marocchino-2024.04-..> | 212855 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk | 114796 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk | 1890459 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk | 10642019 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk | 226715 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0..> | 235823 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-minerva-2024.04-r0...> | 45901 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-microwatt-2024.04-r..> | 20402083 | 2024-Oct-25 19:02 |
| py3-litex-2024.04-r0.apk | 1398938 | 2024-Oct-25 19:02 |
| py3-litespi-2024.04-r0.apk | 32916 | 2024-Oct-25 19:02 |
| py3-litesdcard-2024.04-r0.apk | 17735 | 2024-Oct-25 19:02 |
| py3-litescope-2024.04-r0.apk | 16458 | 2024-Oct-25 19:02 |
| py3-litesata-2024.04-r0.apk | 63325 | 2024-Oct-25 19:02 |
| py3-litepcie-2024.04-r0.apk | 110973 | 2024-Oct-25 19:02 |
| py3-litejesd204b-2024.04-r0.apk | 13616 | 2024-Oct-25 19:02 |
| py3-liteiclink-2024.04-r0.apk | 83857 | 2024-Oct-25 19:02 |
| py3-liteeth-2024.04-r0.apk | 105295 | 2024-Oct-25 19:02 |
| py3-litedram-2024.04-r0.apk | 180343 | 2024-Oct-25 19:02 |
| py3-linkify-it-py-pyc-2.0.3-r1.apk | 23986 | 2024-Oct-25 19:02 |
| py3-linkify-it-py-2.0.3-r1.apk | 21846 | 2024-Oct-25 19:02 |
| py3-librtmp-pyc-0.3.0-r6.apk | 25164 | 2024-Oct-25 19:02 |
| py3-librtmp-0.3.0-r6.apk | 35132 | 2024-Oct-25 19:02 |
| py3-libnacl-pyc-2.1.0-r1.apk | 31076 | 2024-Oct-25 19:02 |
| py3-libnacl-2.1.0-r1.apk | 20801 | 2024-Oct-25 19:02 |
| py3-libmdbx-pyc-0.10.2-r7.apk | 33602 | 2024-Oct-25 19:02 |
| py3-libmdbx-0.10.2-r7.apk | 28559 | 2024-Oct-25 19:02 |
| py3-libiio-0.25-r2.apk | 12877 | 2024-Oct-25 19:02 |
| py3-lib_users-pyc-0.15-r4.apk | 9737 | 2024-Oct-25 19:02 |
| py3-lib_users-0.15-r4.apk | 15994 | 2024-Oct-25 19:02 |
| py3-langcodes-pyc-3.3.0-r2.apk | 112361 | 2024-Oct-25 19:02 |
| py3-langcodes-3.3.0-r2.apk | 177706 | 2024-Oct-25 19:02 |
| py3-landlock-pyc-1.0.0_pre4-r2.apk | 9754 | 2024-Oct-25 19:02 |
| py3-landlock-1.0.0_pre4-r2.apk | 8610 | 2024-Oct-25 19:02 |
| py3-kerberos-1.3.1-r5.apk | 16995 | 2024-Oct-25 19:02 |
| py3-keepalive-pyc-0.5-r5.apk | 13360 | 2024-Oct-25 19:02 |
| py3-keepalive-doc-0.5-r5.apk | 2035 | 2024-Oct-25 19:02 |
| py3-keepalive-0.5-r5.apk | 9186 | 2024-Oct-25 19:02 |
| py3-kazoo-pyc-0_git20211202-r4.apk | 250373 | 2024-Oct-25 19:02 |
| py3-kazoo-0_git20211202-r4.apk | 128030 | 2024-Oct-25 19:02 |
| py3-junit-xml-pyc-1.9-r3.apk | 9539 | 2024-Oct-25 19:02 |
| py3-junit-xml-1.9-r3.apk | 8540 | 2024-Oct-25 19:02 |
| py3-jaraco.versioning-pyc-1.1.0-r0.apk | 6251 | 2024-Oct-25 19:02 |
| py3-jaraco.versioning-1.1.0-r0.apk | 6051 | 2024-Oct-25 19:02 |
| py3-jaraco.path-pyc-3.7.2-r0.apk | 9751 | 2024-Oct-25 19:02 |
| py3-jaraco.path-3.7.2-r0.apk | 7802 | 2024-Oct-25 19:02 |
| py3-iterable-io-pyc-1.0.0-r0.apk | 5379 | 2024-Oct-25 19:02 |
| py3-iterable-io-1.0.0-r0.apk | 6183 | 2024-Oct-25 19:02 |
| py3-iso639-lang-pyc-2.2.3-r0.apk | 9932 | 2024-Oct-25 19:02 |
| py3-iso639-lang-2.2.3-r0.apk | 275438 | 2024-Oct-25 19:02 |
| py3-intervals-pyc-0.9.2-r5.apk | 15527 | 2024-Oct-25 19:02 |
| py3-intervals-0.9.2-r5.apk | 9673 | 2024-Oct-25 19:02 |
| py3-iniparse-pyc-0.5-r7.apk | 25212 | 2024-Oct-25 19:02 |
| py3-iniparse-doc-0.5-r7.apk | 10593 | 2024-Oct-25 19:02 |
| py3-iniparse-0.5-r7.apk | 19134 | 2024-Oct-25 19:02 |
| py3-infinity-pyc-1.5-r6.apk | 3829 | 2024-Oct-25 19:02 |
| py3-infinity-1.5-r6.apk | 4489 | 2024-Oct-25 19:02 |
| py3-incoming-pyc-0.3.1-r8.apk | 20427 | 2024-Oct-25 19:02 |
| py3-incoming-0.3.1-r8.apk | 13134 | 2024-Oct-25 19:02 |
| py3-imdbpy-pyc-2021.4.18-r5.apk | 248402 | 2024-Oct-25 19:02 |
| py3-imdbpy-2021.4.18-r5.apk | 234500 | 2024-Oct-25 19:02 |
| py3-imageio-ffmpeg-pyc-0.4.9-r1.apk | 20751 | 2024-Oct-25 19:02 |
| py3-imageio-ffmpeg-0.4.9-r1.apk | 16888 | 2024-Oct-25 19:02 |
| py3-hurry.filesize-pyc-0.9-r8.apk | 3334 | 2024-Oct-25 19:02 |
| py3-hurry.filesize-0.9-r8.apk | 4722 | 2024-Oct-25 19:02 |
| py3-html5-parser-pyc-0.4.12-r1.apk | 22810 | 2024-Oct-25 19:02 |
| py3-html5-parser-0.4.12-r1.apk | 165581 | 2024-Oct-25 19:02 |
| py3-hg-git-pyc-1.1.1-r1.apk | 109356 | 2024-Oct-25 19:02 |
| py3-hg-git-1.1.1-r1.apk | 71779 | 2024-Oct-25 19:02 |
| py3-helper-pyc-2.5.0-r5.apk | 28848 | 2024-Oct-25 19:02 |
| py3-helper-2.5.0-r5.apk | 19224 | 2024-Oct-25 19:02 |
| py3-hatch-openzim-pyc-0.2.0-r0.apk | 13305 | 2024-Oct-25 19:02 |
| py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12994 | 2024-Oct-25 19:02 |
| py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 24758 | 2024-Oct-25 19:02 |
| py3-hatch-openzim-0.2.0-r0.apk | 25098 | 2024-Oct-25 19:02 |
| py3-halo-pyc-0.0.31-r5.apk | 14254 | 2024-Oct-25 19:02 |
| py3-halo-0.0.31-r5.apk | 11740 | 2024-Oct-25 19:02 |
| py3-googletrans-pyc-3.0.0-r5.apk | 17844 | 2024-Oct-25 19:02 |
| py3-googletrans-3.0.0-r5.apk | 15848 | 2024-Oct-25 19:02 |
| py3-google-trans-new-pyc-1.1.9-r2.apk | 10832 | 2024-Oct-25 19:02 |
| py3-google-trans-new-1.1.9-r2.apk | 9450 | 2024-Oct-25 19:02 |
| py3-gls-pyc-1.3.1-r1.apk | 86154 | 2024-Oct-25 19:02 |
| py3-gls-1.3.1-r1.apk | 47890 | 2024-Oct-25 19:02 |
| py3-glob2-pyc-0.7-r6.apk | 13219 | 2024-Oct-25 19:02 |
| py3-glob2-0.7-r6.apk | 10514 | 2024-Oct-25 19:02 |
| py3-github3-pyc-4.0.1-r1.apk | 232424 | 2024-Oct-25 19:02 |
| py3-github3-4.0.1-r1.apk | 131343 | 2024-Oct-25 19:02 |
| py3-git-versioner-pyc-7.1-r1.apk | 13837 | 2024-Oct-25 19:02 |
| py3-git-versioner-7.1-r1.apk | 12252 | 2024-Oct-25 19:02 |
| py3-gevent-websocket-pyc-0.10.1-r8.apk | 31092 | 2024-Oct-25 19:02 |
| py3-gevent-websocket-0.10.1-r8.apk | 20214 | 2024-Oct-25 19:02 |
| py3-geoip-1.3.2-r4.apk | 22465 | 2024-Oct-25 19:02 |
| py3-freetype-py-2.5.1-r0.apk | 164810 | 2024-Oct-25 19:02 |
| py3-fpdf-pyc-1.7.2-r5.apk | 91322 | 2024-Oct-25 19:02 |
| py3-fpdf-1.7.2-r5.apk | 40672 | 2024-Oct-25 19:02 |
| py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9890 | 2024-Oct-25 19:02 |
| py3-forbiddenfruit-0.1.4-r2.apk | 9180 | 2024-Oct-25 19:02 |
| py3-flask-themer-pyc-2.0.0-r2.apk | 7139 | 2024-Oct-25 19:02 |
| py3-flask-themer-2.0.0-r2.apk | 8085 | 2024-Oct-25 19:02 |
| py3-flask-restless-pyc-0.17.0-r9.apk | 60480 | 2024-Oct-25 19:02 |
| py3-flask-restless-0.17.0-r9.apk | 41456 | 2024-Oct-25 19:02 |
| py3-flask-restaction-pyc-0.25.3-r8.apk | 20287 | 2024-Oct-25 19:02 |
| py3-flask-restaction-0.25.3-r8.apk | 117602 | 2024-Oct-25 19:02 |
| py3-flask-peewee-pyc-3.0.6-r0.apk | 97766 | 2024-Oct-25 19:02 |
| py3-flask-peewee-3.0.6-r0.apk | 176170 | 2024-Oct-25 19:02 |
| py3-flask-paginate-pyc-0.8.1-r6.apk | 11462 | 2024-Oct-25 19:02 |
| py3-flask-paginate-0.8.1-r6.apk | 8410 | 2024-Oct-25 19:02 |
| py3-flask-markdown-pyc-0.3-r8.apk | 3950 | 2024-Oct-25 19:02 |
| py3-flask-markdown-0.3-r8.apk | 5750 | 2024-Oct-25 19:02 |
| py3-flask-mailman-pyc-1.1.1-r0.apk | 26358 | 2024-Oct-25 19:02 |
| py3-flask-mailman-1.1.1-r0.apk | 16864 | 2024-Oct-25 19:02 |
| py3-flask-loopback-pyc-1.4.7-r7.apk | 8112 | 2024-Oct-25 19:02 |
| py3-flask-loopback-1.4.7-r7.apk | 5706 | 2024-Oct-25 19:02 |
| py3-flask-json-schema-pyc-0.0.5-r4.apk | 3520 | 2024-Oct-25 19:02 |
| py3-flask-json-schema-0.0.5-r4.apk | 4194 | 2024-Oct-25 19:02 |
| py3-flask-httpauth-pyc-4.8.0-r2.apk | 10843 | 2024-Oct-25 19:02 |
| py3-flask-httpauth-4.8.0-r2.apk | 8175 | 2024-Oct-25 19:02 |
| py3-flask-headers-pyc-1.0-r9.apk | 2514 | 2024-Oct-25 19:02 |
| py3-flask-headers-1.0-r9.apk | 3283 | 2024-Oct-25 19:02 |
| py3-flask-gzip-pyc-0.2-r8.apk | 2895 | 2024-Oct-25 19:02 |
| py3-flask-gzip-0.2-r8.apk | 3259 | 2024-Oct-25 19:02 |
| py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6406 | 2024-Oct-25 19:02 |
| py3-flask-dbconfig-0.3.12-r8.apk | 87742 | 2024-Oct-25 19:02 |
| py3-flask-components-pyc-0.1.1-r9.apk | 3384 | 2024-Oct-25 19:02 |
| py3-flask-components-0.1.1-r9.apk | 4025 | 2024-Oct-25 19:02 |
| py3-flask-cdn-pyc-1.5.3-r8.apk | 4178 | 2024-Oct-25 19:02 |
| py3-flask-cdn-1.5.3-r8.apk | 4873 | 2024-Oct-25 19:02 |
| py3-flask-cache-pyc-0.13.1-r9.apk | 18720 | 2024-Oct-25 19:02 |
| py3-flask-cache-0.13.1-r9.apk | 13077 | 2024-Oct-25 19:02 |
| py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5941 | 2024-Oct-25 19:02 |
| py3-flask-bcrypt-1.0.1-r5.apk | 7316 | 2024-Oct-25 19:02 |
| py3-flask-basicauth-pyc-0.2.0-r9.apk | 4177 | 2024-Oct-25 19:02 |
| py3-flask-basicauth-0.2.0-r9.apk | 5468 | 2024-Oct-25 19:02 |
| py3-flask-autorouter-pyc-0.2.2-r3.apk | 5068 | 2024-Oct-25 19:02 |
| py3-flask-autorouter-0.2.2-r3.apk | 5208 | 2024-Oct-25 19:02 |
| py3-flask-admin-pyc-1.6.1-r3.apk | 366893 | 2024-Oct-25 19:02 |
| py3-flask-admin-1.6.1-r3.apk | 6838820 | 2024-Oct-25 19:02 |
| py3-flake8-todo-pyc-0.7-r7.apk | 2284 | 2024-Oct-25 19:02 |
| py3-flake8-todo-0.7-r7.apk | 3680 | 2024-Oct-25 19:02 |
| py3-flake8-snippets-pyc-0.2-r8.apk | 3763 | 2024-Oct-25 19:02 |
| py3-flake8-snippets-0.2-r8.apk | 5449 | 2024-Oct-25 19:02 |
| py3-flake8-print-pyc-5.0.0-r5.apk | 4518 | 2024-Oct-25 19:02 |
| py3-flake8-print-5.0.0-r5.apk | 6883 | 2024-Oct-25 19:02 |
| py3-flake8-polyfill-pyc-1.0.2-r5.apk | 5831 | 2024-Oct-25 19:02 |
| py3-flake8-polyfill-1.0.2-r5.apk | 7241 | 2024-Oct-25 19:02 |
| py3-flake8-import-order-pyc-0.18.2-r4.apk | 17176 | 2024-Oct-25 19:02 |
| py3-flake8-import-order-0.18.2-r4.apk | 15774 | 2024-Oct-25 19:02 |
| py3-flake8-debugger-pyc-4.1.2-r4.apk | 6110 | 2024-Oct-25 19:02 |
| py3-flake8-debugger-4.1.2-r4.apk | 6383 | 2024-Oct-25 19:02 |
| py3-flake8-copyright-pyc-0.2.4-r3.apk | 3416 | 2024-Oct-25 19:02 |
| py3-flake8-copyright-0.2.4-r3.apk | 18680 | 2024-Oct-25 19:02 |
| py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2652 | 2024-Oct-25 19:02 |
| py3-flake8-blind-except-0.2.1-r4.apk | 5325 | 2024-Oct-25 19:02 |
| py3-firmata-pyc-1.0.3-r10.apk | 21375 | 2024-Oct-25 19:02 |
| py3-firmata-1.0.3-r10.apk | 14524 | 2024-Oct-25 19:02 |
| py3-feedgenerator-pyc-2.1.0-r2.apk | 27391 | 2024-Oct-25 19:02 |
| py3-feedgenerator-2.1.0-r2.apk | 18454 | 2024-Oct-25 19:02 |
| py3-feedgen-pyc-1.0.0-r1.apk | 63173 | 2024-Oct-25 19:02 |
| py3-feedgen-1.0.0-r1.apk | 41199 | 2024-Oct-25 19:02 |
| py3-fastdiff-pyc-0.3.0-r5.apk | 4303 | 2024-Oct-25 19:02 |
| py3-fastdiff-0.3.0-r5.apk | 39355 | 2024-Oct-25 19:02 |
| py3-euclid3-pyc-0.01-r8.apk | 33335 | 2024-Oct-25 19:02 |
| py3-euclid3-0.01-r8.apk | 14511 | 2024-Oct-25 19:02 |
| py3-eradicate-pyc-2.3.0-r2.apk | 8548 | 2024-Oct-25 19:02 |
| py3-eradicate-doc-2.3.0-r2.apk | 2580 | 2024-Oct-25 19:02 |
| py3-eradicate-2.3.0-r2.apk | 7751 | 2024-Oct-25 19:02 |
| py3-empy-pyc-3.3.4-r7.apk | 59899 | 2024-Oct-25 19:02 |
| py3-empy-3.3.4-r7.apk | 40264 | 2024-Oct-25 19:02 |
| py3-editdistance-s-pyc-1.0.0-r6.apk | 2073 | 2024-Oct-25 19:02 |
| py3-editdistance-s-1.0.0-r6.apk | 13427 | 2024-Oct-25 19:02 |
| py3-edalize-pyc-0.5.4-r0.apk | 194736 | 2024-Oct-25 19:02 |
| py3-edalize-0.5.4-r0.apk | 125545 | 2024-Oct-25 19:02 |
| py3-ecos-pyc-2.0.11-r4.apk | 3720 | 2024-Oct-25 19:02 |
| py3-ecos-2.0.11-r4.apk | 27963 | 2024-Oct-25 19:02 |
| py3-dweepy-pyc-0.3.0-r7.apk | 6406 | 2024-Oct-25 19:02 |
| py3-dweepy-0.3.0-r7.apk | 9305 | 2024-Oct-25 19:02 |
| py3-duniterpy-1.1.1-r3.apk | 226630 | 2024-Oct-25 19:02 |
| py3-dpath-pyc-2.2.0-r0.apk | 18194 | 2024-Oct-25 19:02 |
| py3-dpath-2.2.0-r0.apk | 17437 | 2024-Oct-25 19:02 |
| py3-dotty-dict-pyc-1.3.1-r4.apk | 8890 | 2024-Oct-25 19:02 |
| py3-dotty-dict-1.3.1-r4.apk | 8601 | 2024-Oct-25 19:02 |
| py3-dominate-pyc-2.9.1-r1.apk | 34544 | 2024-Oct-25 19:02 |
| py3-dominate-2.9.1-r1.apk | 25346 | 2024-Oct-25 19:02 |
| py3-doit-pyc-0.36.0-r5.apk | 136447 | 2024-Oct-25 19:02 |
| py3-doit-0.36.0-r5.apk | 78339 | 2024-Oct-25 19:02 |
| py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 5074 | 2024-Oct-25 19:02 |
| py3-django-taggit-serializer-0.1.7-r8.apk | 4123 | 2024-Oct-25 19:02 |
| py3-django-suit-pyc-0.2.28-r8.apk | 33279 | 2024-Oct-25 19:02 |
| py3-django-suit-0.2.28-r8.apk | 374544 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk | 512015 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0..> | 956550 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0..> | 2024152 | 2024-Oct-25 19:02 |
| py3-litex-hub-pythondata-cpu-blackparrot-2024.04..> | 5823450 | 2024-Oct-25 19:02 |
| py3-litex-hub-modules-pyc-2024.04-r0.apk | 1161220 | 2024-Oct-25 19:02 |
| py3-litex-hub-modules-2024.04-r0.apk | 1660 | 2024-Oct-25 19:02 |
| py3-litex-full-2024.04-r0.apk | 1617 | 2024-Oct-25 19:02 |
| py3-litex-boards-2024.04-r0.apk | 399853 | 2024-Oct-25 19:02 |
| py3-cassandra-driver-3.29.2-r0.apk | 292701 | 2024-Oct-25 19:02 |
| py3-django-compress-staticfiles-pyc-1.0.1_beta0-..> | 15263 | 2024-Oct-25 19:02 |
| py3-django-compress-staticfiles-1.0.1_beta0-r6.apk | 15004 | 2024-Oct-25 19:02 |
| py3-distorm3-pyc-3.5.2-r6.apk | 49820 | 2024-Oct-25 19:02 |
| py3-distorm3-3.5.2-r6.apk | 47345 | 2024-Oct-25 19:02 |
| py3-dexml-pyc-0.5.1-r9.apk | 38389 | 2024-Oct-25 19:02 |
| py3-dexml-0.5.1-r9.apk | 22742 | 2024-Oct-25 19:02 |
| py3-deluge-client-pyc-1.10.2-r0.apk | 20126 | 2024-Oct-25 19:02 |
| py3-deluge-client-doc-1.10.2-r0.apk | 2306 | 2024-Oct-25 19:02 |
| py3-deluge-client-1.10.2-r0.apk | 13205 | 2024-Oct-25 19:02 |
| py3-daterangestr-pyc-0.0.3-r8.apk | 4325 | 2024-Oct-25 19:02 |
| py3-daterangestr-0.0.3-r8.apk | 4457 | 2024-Oct-25 19:02 |
| py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14615 | 2024-Oct-25 19:02 |
| py3-dataclasses-serialization-1.3.1-r3.apk | 11218 | 2024-Oct-25 19:02 |
| py3-dataclasses-json-pyc-0.6.7-r0.apk | 36513 | 2024-Oct-25 19:02 |
| py3-dataclasses-json-0.6.7-r0.apk | 28069 | 2024-Oct-25 19:02 |
| py3-cvxpy-pyc-1.2.1-r5.apk | 958550 | 2024-Oct-25 19:02 |
| py3-cvxpy-1.2.1-r5.apk | 686404 | 2024-Oct-25 19:02 |
| py3-cssutils-pyc-2.11.1-r1.apk | 285334 | 2024-Oct-25 19:02 |
| py3-cssutils-2.11.1-r1.apk | 158925 | 2024-Oct-25 19:02 |
| py3-createrepo_c-pyc-1.1.4-r0.apk | 14866 | 2024-Oct-25 19:02 |
| py3-createrepo_c-1.1.4-r0.apk | 41925 | 2024-Oct-25 19:02 |
| py3-crc16-pyc-0.1.1-r10.apk | 4819 | 2024-Oct-25 19:02 |
| py3-crc16-0.1.1-r10.apk | 11829 | 2024-Oct-25 19:02 |
| py3-coreapi-pyc-2.3.3-r9.apk | 44305 | 2024-Oct-25 19:02 |
| py3-coreapi-2.3.3-r9.apk | 22760 | 2024-Oct-25 19:02 |
| py3-cookiecutter-pyc-2.6.0-r1.apk | 48637 | 2024-Oct-25 19:02 |
| py3-cookiecutter-doc-2.6.0-r1.apk | 3816 | 2024-Oct-25 19:02 |
| py3-cookiecutter-2.6.0-r1.apk | 36220 | 2024-Oct-25 19:02 |
| py3-compdb-pyc-0.2.0-r8.apk | 40577 | 2024-Oct-25 19:02 |
| py3-compdb-doc-0.2.0-r8.apk | 3113 | 2024-Oct-25 19:02 |
| py3-compdb-0.2.0-r8.apk | 23865 | 2024-Oct-25 19:02 |
| py3-columnize-pyc-0.3.11-r4.apk | 7661 | 2024-Oct-25 19:02 |
| py3-columnize-0.3.11-r4.apk | 8731 | 2024-Oct-25 19:02 |
| py3-colorthief-pyc-0.2.1-r1.apk | 10294 | 2024-Oct-25 19:02 |
| py3-colorthief-0.2.1-r1.apk | 7488 | 2024-Oct-25 19:02 |
| py3-colander-pyc-2.0-r2.apk | 43501 | 2024-Oct-25 19:02 |
| py3-colander-2.0-r2.apk | 63967 | 2024-Oct-25 19:02 |
| py3-cobs-pyc-1.2.0-r4.apk | 12753 | 2024-Oct-25 19:02 |
| py3-cobs-1.2.0-r4.apk | 19193 | 2024-Oct-25 19:02 |
| py3-cmd2-pyc-2.4.3-r2.apk | 227956 | 2024-Oct-25 19:02 |
| py3-cmd2-2.4.3-r2.apk | 142763 | 2024-Oct-25 19:02 |
| py3-clickclick-pyc-20.10.2-r4.apk | 10012 | 2024-Oct-25 19:02 |
| py3-clickclick-20.10.2-r4.apk | 8142 | 2024-Oct-25 19:02 |
| py3-click-threading-pyc-0.5.0-r5.apk | 8041 | 2024-Oct-25 19:02 |
| py3-click-threading-0.5.0-r5.apk | 6499 | 2024-Oct-25 19:02 |
| py3-click-default-group-pyc-1.2.4-r1.apk | 4637 | 2024-Oct-25 19:02 |
| py3-click-default-group-1.2.4-r1.apk | 5271 | 2024-Oct-25 19:02 |
| py3-click-completion-pyc-0.5.2-r1.apk | 14584 | 2024-Oct-25 19:02 |
| py3-click-completion-0.5.2-r1.apk | 11050 | 2024-Oct-25 19:02 |
| py3-class-doc-pyc-1.25-r1.apk | 8918 | 2024-Oct-25 19:02 |
| py3-class-doc-1.25-r1.apk | 6203 | 2024-Oct-25 19:02 |
| py3-certauth-pyc-1.3.0-r1.apk | 9308 | 2024-Oct-25 19:02 |
| py3-certauth-1.3.0-r1.apk | 8919 | 2024-Oct-25 19:02 |
| py3-cchardet-pyc-2.1.7-r5.apk | 3124 | 2024-Oct-25 19:02 |
| py3-cchardet-2.1.7-r5.apk | 125374 | 2024-Oct-25 19:02 |
| py3-catkin-pkg-pyc-0.5.2-r4.apk | 105099 | 2024-Oct-25 19:02 |
| py3-catkin-pkg-0.5.2-r4.apk | 58521 | 2024-Oct-25 19:02 |
| py3-cassandra-driver-pyc-3.29.2-r0.apk | 573386 | 2024-Oct-25 19:02 |
| py3-c3d-pyc-0.5.2-r1.apk | 54988 | 2024-Oct-25 19:02 |
| py3-c3d-0.5.2-r1.apk | 32844 | 2024-Oct-25 19:02 |
| py3-businesstime-pyc-0.3.0-r9.apk | 16731 | 2024-Oct-25 19:02 |
| py3-businesstime-0.3.0-r9.apk | 10889 | 2024-Oct-25 19:02 |
| py3-bson-pyc-0.5.10-r6.apk | 18949 | 2024-Oct-25 19:02 |
| py3-bson-0.5.10-r6.apk | 11975 | 2024-Oct-25 19:02 |
| py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4355 | 2024-Oct-25 19:02 |
| py3-bottle-werkzeug-0.1.1-r9.apk | 4195 | 2024-Oct-25 19:02 |
| py3-bottle-websocket-pyc-0.2.9-r8.apk | 3209 | 2024-Oct-25 19:02 |
| py3-bottle-websocket-0.2.9-r8.apk | 4755 | 2024-Oct-25 19:02 |
| py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5364 | 2024-Oct-25 19:02 |
| py3-bottle-sqlite-0.2.0-r7.apk | 4811 | 2024-Oct-25 19:02 |
| py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5766 | 2024-Oct-25 19:02 |
| py3-bottle-sqlalchemy-0.4.3-r8.apk | 4967 | 2024-Oct-25 19:02 |
| py3-bottle-session-pyc-1.0-r6.apk | 7984 | 2024-Oct-25 19:02 |
| py3-bottle-session-1.0-r6.apk | 10441 | 2024-Oct-25 19:02 |
| py3-bottle-rest-pyc-0.6.0-r1.apk | 5300 | 2024-Oct-25 19:02 |
| py3-bottle-rest-0.6.0-r1.apk | 6255 | 2024-Oct-25 19:02 |
| py3-bottle-request-pyc-0.2.0-r9.apk | 2661 | 2024-Oct-25 19:02 |
| py3-bottle-request-0.2.0-r9.apk | 3288 | 2024-Oct-25 19:02 |
| py3-bottle-renderer-pyc-0.1.1-r9.apk | 3830 | 2024-Oct-25 19:02 |
| py3-bottle-renderer-0.1.1-r9.apk | 4083 | 2024-Oct-25 19:02 |
| py3-bottle-redis-pyc-0.2.3-r6.apk | 3204 | 2024-Oct-25 19:02 |
| py3-bottle-redis-0.2.3-r6.apk | 3384 | 2024-Oct-25 19:02 |
| py3-bottle-pgsql-0.2-r5.apk | 4370 | 2024-Oct-25 19:02 |
| py3-bottle-api-pyc-0.0.4-r7.apk | 5340 | 2024-Oct-25 19:02 |
| py3-bottle-api-0.0.4-r7.apk | 4992 | 2024-Oct-25 19:02 |
| py3-blockchain-pyc-1.4.4-r7.apk | 18288 | 2024-Oct-25 19:02 |
| py3-blockchain-1.4.4-r7.apk | 11234 | 2024-Oct-25 19:02 |
| py3-bleak-0.22.3-r0.apk | 378691 | 2024-Oct-25 19:02 |
| py3-bitstruct-pyc-8.19.0-r1.apk | 13090 | 2024-Oct-25 19:02 |
| py3-bitstruct-8.19.0-r1.apk | 34853 | 2024-Oct-25 19:02 |
| py3-bidict-pyc-0.23.1-r1.apk | 29452 | 2024-Oct-25 19:02 |
| py3-bidict-0.23.1-r1.apk | 28383 | 2024-Oct-25 19:02 |
| py3-bencode-pyc-4.0.0-r1.apk | 10714 | 2024-Oct-25 19:02 |
| py3-bencode-4.0.0-r1.apk | 17500 | 2024-Oct-25 19:02 |
| py3-barcodenumber-pyc-0.2.1-r10.apk | 4363 | 2024-Oct-25 19:02 |
| py3-barcodenumber-0.2.1-r10.apk | 16719 | 2024-Oct-25 19:02 |
| py3-bandwidth-sdk-pyc-3.1.0-r8.apk | 70854 | 2024-Oct-25 19:02 |
| py3-bandwidth-sdk-3.1.0-r8.apk | 47105 | 2024-Oct-25 19:02 |
| py3-banal-pyc-1.0.6-r4.apk | 7383 | 2024-Oct-25 19:02 |
| py3-banal-1.0.6-r4.apk | 7039 | 2024-Oct-25 19:02 |
| py3-avro-pyc-1.11.3-r1.apk | 195954 | 2024-Oct-25 19:02 |
| py3-avro-1.11.3-r1.apk | 100016 | 2024-Oct-25 19:02 |
| py3-astral-pyc-3.2-r3.apk | 60339 | 2024-Oct-25 19:02 |
| py3-astral-3.2-r3.apk | 37916 | 2024-Oct-25 19:02 |
| py3-ask-pyc-0.0.8-r8.apk | 4582 | 2024-Oct-25 19:02 |
| py3-ask-0.0.8-r8.apk | 5117 | 2024-Oct-25 19:02 |
| py3-asif-pyc-0.3.2-r3.apk | 26500 | 2024-Oct-25 19:02 |
| py3-asif-0.3.2-r3.apk | 13668 | 2024-Oct-25 19:02 |
| py3-apio-pyc-0.9.5-r0.apk | 79056 | 2024-Oct-25 19:02 |
| py3-apio-0.9.5-r0.apk | 74095 | 2024-Oct-25 19:02 |
| py3-apicula-pyc-0.11.1-r1.apk | 183790 | 2024-Oct-25 19:02 |
| py3-apicula-0.11.1-r1.apk | 8891838 | 2024-Oct-25 19:02 |
| py3-anyascii-pyc-0.3.2-r1.apk | 3409 | 2024-Oct-25 19:02 |
| py3-anyascii-0.3.2-r1.apk | 281320 | 2024-Oct-25 19:02 |
| py3-ansi2html-pyc-1.9.2-r0.apk | 22411 | 2024-Oct-25 19:02 |
| py3-ansi2html-1.9.2-r0.apk | 18066 | 2024-Oct-25 19:02 |
| py3-altgraph-pyc-0.17.4-r1.apk | 29835 | 2024-Oct-25 19:02 |
| py3-altgraph-0.17.4-r1.apk | 21227 | 2024-Oct-25 19:02 |
| py3-allfiles-pyc-1.0-r8.apk | 3345 | 2024-Oct-25 19:02 |
| py3-allfiles-1.0-r8.apk | 3651 | 2024-Oct-25 19:02 |
| py3-aioxmpp-pyc-0.13.3-r3.apk | 689274 | 2024-Oct-25 19:02 |
| py3-aioxmpp-doc-0.13.3-r3.apk | 18779 | 2024-Oct-25 19:02 |
| py3-aioxmpp-0.13.3-r3.apk | 396982 | 2024-Oct-25 19:02 |
| py3-aiosasl-pyc-0.5.0-r4.apk | 24500 | 2024-Oct-25 19:02 |
| py3-aiosasl-doc-0.5.0-r4.apk | 16849 | 2024-Oct-25 19:02 |
| py3-aiosasl-0.5.0-r4.apk | 30295 | 2024-Oct-25 19:02 |
| py3-aioopenssl-pyc-0.6.0-r4.apk | 19593 | 2024-Oct-25 19:02 |
| py3-aioopenssl-0.6.0-r4.apk | 21321 | 2024-Oct-25 19:02 |
| py3-aiohttp-session-pyc-2.12.1-r0.apk | 15148 | 2024-Oct-25 19:02 |
| py3-aiohttp-session-2.12.1-r0.apk | 10684 | 2024-Oct-25 19:02 |
| py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9451 | 2024-Oct-25 19:02 |
| py3-aiohttp-jinja2-1.6-r2.apk | 12683 | 2024-Oct-25 19:02 |
| py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk | 52419 | 2024-Oct-25 19:02 |
| py3-aiohttp-debugtoolbar-0.6.1-r2.apk | 456616 | 2024-Oct-25 19:02 |
| py3-aiodocker-pyc-0.21.0-r1.apk | 61833 | 2024-Oct-25 19:02 |
| py3-aiodocker-0.21.0-r1.apk | 30018 | 2024-Oct-25 19:02 |
| py3-actdiag-pyc-3.0.0-r5.apk | 22003 | 2024-Oct-25 19:02 |
| py3-actdiag-3.0.0-r5.apk | 17684 | 2024-Oct-25 19:02 |
| py-spy-zsh-completion-0.3.14-r3.apk | 3150 | 2024-Oct-25 19:02 |
| py-spy-fish-completion-0.3.14-r3.apk | 2689 | 2024-Oct-25 19:02 |
| py-spy-doc-0.3.14-r3.apk | 2331 | 2024-Oct-25 19:02 |
| py-spy-bash-completion-0.3.14-r3.apk | 2408 | 2024-Oct-25 19:02 |
| py-spy-0.3.14-r3.apk | 1094775 | 2024-Oct-25 19:02 |
| pxmenu-1.0.0-r1.apk | 2948 | 2024-Oct-25 19:02 |
| pxalarm-3.0.0-r0.apk | 2947 | 2024-Oct-25 19:02 |
| pwauth-doc-2.3.11-r2.apk | 6968 | 2024-Oct-25 19:02 |
| pwauth-2.3.11-r2.apk | 3857 | 2024-Oct-25 19:02 |
| pw-volume-0.5.0-r1.apk | 329803 | 2024-Oct-25 19:02 |
| purple-hangouts-0_git20200422-r0.apk | 232943 | 2024-Oct-25 19:02 |
| purple-facebook-0.9.6-r0.apk | 79381 | 2024-Oct-25 19:02 |
| pulumi-watch-0.1.5-r2.apk | 834443 | 2024-Oct-25 19:02 |
| pulseview-doc-0.4.2-r8.apk | 3748 | 2024-Oct-25 19:02 |
| pulseview-0.4.2-r8.apk | 964101 | 2024-Oct-25 19:02 |
| pully-openrc-1.0.0-r0.apk | 1762 | 2024-Oct-25 19:02 |
| pully-1.0.0-r0.apk | 2587 | 2024-Oct-25 19:02 |
| ptpd-openrc-2.3.1-r1.apk | 2447 | 2024-Oct-25 19:02 |
| ptpd-doc-2.3.1-r1.apk | 20772 | 2024-Oct-25 19:02 |
| ptpd-2.3.1-r1.apk | 176498 | 2024-Oct-25 19:02 |
| psst-0_git20240526-r1.apk | 8053289 | 2024-Oct-25 19:02 |
| prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0...> | 2063 | 2024-Oct-25 19:02 |
| psftools-doc-1.1.2-r0.apk | 61076 | 2024-Oct-25 19:02 |
| psftools-dev-1.1.2-r0.apk | 80201 | 2024-Oct-25 19:02 |
| psftools-1.1.2-r0.apk | 195046 | 2024-Oct-25 19:02 |
| prosody-modules-0.11_hg20201208-r0.apk | 1489 | 2024-Oct-25 19:02 |
| prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2699 | 2024-Oct-25 19:02 |
| prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2726 | 2024-Oct-25 19:02 |
| prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2072 | 2024-Oct-25 19:02 |
| prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2084 | 2024-Oct-25 19:02 |
| prosody-mod-smacks-0.11_hg20201208-r0.apk | 8786 | 2024-Oct-25 19:02 |
| prosody-mod-server_status-0.11_hg20201208-r0.apk | 2841 | 2024-Oct-25 19:02 |
| prosody-mod-saslname-0.11_hg20201208-r0.apk | 1647 | 2024-Oct-25 19:02 |
| psi-notify-1.3.1-r0.apk | 10905 | 2024-Oct-25 19:02 |
| prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1751 | 2024-Oct-25 19:02 |
| prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2047 | 2024-Oct-25 19:02 |
| prosody-mod-register_redirect-0.11_hg20201208-r0..> | 2773 | 2024-Oct-25 19:02 |
| prosody-mod-register_json-0.11_hg20201208-r0.apk | 105991 | 2024-Oct-25 19:02 |
| prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3806 | 2024-Oct-25 19:02 |
| prosody-mod-muc_cloud_notify-0.11_hg20201208-r0...> | 7025 | 2024-Oct-25 19:02 |
| prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5687 | 2024-Oct-25 19:02 |
| prosody-mod-mam-0.11_hg20201208-r0.apk | 6023 | 2024-Oct-25 19:02 |
| prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2234 | 2024-Oct-25 19:02 |
| prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1807 | 2024-Oct-25 19:02 |
| prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2002 | 2024-Oct-25 19:02 |
| prosody-mod-http_upload_external-0.11_hg20201208..> | 2960 | 2024-Oct-25 19:02 |
| prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2900 | 2024-Oct-25 19:02 |
| prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3346 | 2024-Oct-25 19:02 |
| prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7385 | 2024-Oct-25 19:02 |
| prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0..> | 2050 | 2024-Oct-25 19:02 |
| prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1894 | 2024-Oct-25 19:02 |
| prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3183 | 2024-Oct-25 19:02 |
| prosody-mod-block_registrations-0.11_hg20201208-..> | 1806 | 2024-Oct-25 19:02 |
| prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2704 | 2024-Oct-25 19:02 |
| prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1851 | 2024-Oct-25 19:02 |
| prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2881 | 2024-Oct-25 19:02 |
| proot-static-5.4.0-r1.apk | 113258 | 2024-Oct-25 19:02 |
| proot-doc-5.4.0-r1.apk | 10545 | 2024-Oct-25 19:02 |
| proot-5.4.0-r1.apk | 76607 | 2024-Oct-25 19:02 |
| prjtrellis-1.4-r2.apk | 1248180 | 2024-Oct-25 19:02 |
| projectm-sdl-3.1.12-r2.apk | 323709 | 2024-Oct-25 19:02 |
| projectm-pulseaudio-doc-3.1.12-r2.apk | 2051 | 2024-Oct-25 19:02 |
| projectm-pulseaudio-3.1.12-r2.apk | 412154 | 2024-Oct-25 19:02 |
| projectm-presets-3.1.12-r2.apk | 4560273 | 2024-Oct-25 19:02 |
| projectm-dev-3.1.12-r2.apk | 620137 | 2024-Oct-25 19:02 |
| projectm-3.1.12-r2.apk | 443071 | 2024-Oct-25 19:02 |
| prjtrellis-db-machxo3d-0_git20230929-r0.apk | 765693 | 2024-Oct-25 19:02 |
| prjtrellis-db-machxo3-0_git20230929-r0.apk | 1117819 | 2024-Oct-25 19:02 |
| prjtrellis-db-machxo2-0_git20230929-r0.apk | 1037685 | 2024-Oct-25 19:02 |
| prjtrellis-db-machxo-0_git20230929-r0.apk | 40143 | 2024-Oct-25 19:02 |
| prjtrellis-db-ecp5-0_git20230929-r0.apk | 2236785 | 2024-Oct-25 19:02 |
| prjtrellis-db-0_git20230929-r0.apk | 3381 | 2024-Oct-25 19:02 |
| projectsandcastle-loader-0_git20200307-r1.apk | 5076 | 2024-Oct-25 19:02 |
| postgresql-pg_later-0.0.14-r1.apk | 624905 | 2024-Oct-25 19:02 |
| pqiv-doc-2.12-r1.apk | 12313 | 2024-Oct-25 19:02 |
| pqiv-2.12-r1.apk | 68227 | 2024-Oct-25 19:02 |
| powerstat-doc-0.04.01-r0.apk | 4364 | 2024-Oct-25 19:02 |
| powerstat-bash-completion-0.04.01-r0.apk | 2349 | 2024-Oct-25 19:02 |
| powerstat-0.04.01-r0.apk | 19981 | 2024-Oct-25 19:02 |
| powder-toy-97.0.352-r1.apk | 835872 | 2024-Oct-25 19:02 |
| postgresql16-wal2json-2.6-r0.apk | 71684 | 2024-Oct-25 19:02 |
| postgresql-pgmq-1.1.1-r1.apk | 265847 | 2024-Oct-25 19:02 |
| postgresql-hll-bitcode-2.18-r0.apk | 57331 | 2024-Oct-25 19:02 |
| postgresql-hll-2.18-r0.apk | 26915 | 2024-Oct-25 19:02 |
| pixi-bash-completion-0.24.2-r0.apk | 7327 | 2024-Oct-25 19:02 |
| policycoreutils-lang-3.6-r1.apk | 107920 | 2024-Oct-25 19:02 |
| policycoreutils-doc-3.6-r1.apk | 22855 | 2024-Oct-25 19:02 |
| policycoreutils-bash-completion-3.6-r1.apk | 2470 | 2024-Oct-25 19:02 |
| polyglot-doc-2.0.4-r1.apk | 48741 | 2024-Oct-25 19:02 |
| policycoreutils-3.6-r1.apk | 54804 | 2024-Oct-25 19:02 |
| pokoy-doc-0.2.5-r0.apk | 3067 | 2024-Oct-25 19:02 |
| pokoy-0.2.5-r0.apk | 11443 | 2024-Oct-25 19:02 |
| pnmixer-lang-0.7.2-r3.apk | 25403 | 2024-Oct-25 19:02 |
| pnmixer-doc-0.7.2-r3.apk | 2356 | 2024-Oct-25 19:02 |
| pongoos-loader-0_git20210704-r1.apk | 2429 | 2024-Oct-25 19:02 |
| pnmixer-0.7.2-r3.apk | 144176 | 2024-Oct-25 19:02 |
| pmccabe-doc-2.8-r1.apk | 7318 | 2024-Oct-25 19:02 |
| pmccabe-2.8-r1.apk | 23890 | 2024-Oct-25 19:02 |
| plplot-libs-5.15.0-r2.apk | 204434 | 2024-Oct-25 19:02 |
| plplot-doc-5.15.0-r2.apk | 318028 | 2024-Oct-25 19:02 |
| plplot-dev-5.15.0-r2.apk | 60407 | 2024-Oct-25 19:02 |
| plplot-5.15.0-r2.apk | 32026 | 2024-Oct-25 19:02 |
| plib-1.8.5-r3.apk | 869228 | 2024-Oct-25 19:02 |
| platformio-core-pyc-6.1.7-r3.apk | 565025 | 2024-Oct-25 19:02 |
| polyglot-2.0.4-r1.apk | 65219 | 2024-Oct-25 19:02 |
| platformio-core-6.1.7-r3.apk | 268801 | 2024-Oct-25 19:02 |
| pixi-zsh-completion-0.24.2-r0.apk | 10682 | 2024-Oct-25 19:02 |
| pixi-fish-completion-0.24.2-r0.apk | 10320 | 2024-Oct-25 19:02 |
| pixi-doc-0.24.2-r0.apk | 7033 | 2024-Oct-25 19:02 |
| pixi-0.24.2-r0.apk | 9248462 | 2024-Oct-25 19:02 |
| pimd-dense-openrc-2.1.0-r0.apk | 1895 | 2024-Oct-25 19:02 |
| pithos-pyc-1.6.1-r0.apk | 157984 | 2024-Oct-25 19:02 |
| pithos-doc-1.6.1-r0.apk | 2140 | 2024-Oct-25 19:02 |
| pithos-1.6.1-r0.apk | 106964 | 2024-Oct-25 19:02 |
| piping-server-openrc-0.18.0-r0.apk | 1837 | 2024-Oct-25 19:02 |
| piping-server-0.18.0-r0.apk | 1541670 | 2024-Oct-25 19:02 |
| pimd-openrc-3.0_git20220201-r0.apk | 1664 | 2024-Oct-25 19:02 |
| pimd-doc-3.0_git20220201-r0.apk | 35590 | 2024-Oct-25 19:02 |
| pimd-dense-doc-2.1.0-r0.apk | 20150 | 2024-Oct-25 19:02 |
| pimd-dense-2.1.0-r0.apk | 54584 | 2024-Oct-25 19:02 |
| pimd-3.0_git20220201-r0.apk | 87717 | 2024-Oct-25 19:02 |
| pidif-0.1-r1.apk | 163112 | 2024-Oct-25 19:02 |
| pick-doc-4.0.0-r0.apk | 3402 | 2024-Oct-25 19:02 |
| pick-4.0.0-r0.apk | 10131 | 2024-Oct-25 19:02 |
| php83-pecl-jsmin-3.0.0-r0.apk | 10399 | 2024-Oct-25 19:02 |
| php83-pecl-apfd-1.0.3-r0.apk | 4422 | 2024-Oct-25 19:02 |
| php82-pecl-teds-1.3.0-r0.apk | 125798 | 2024-Oct-25 19:02 |
| php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 29980 | 2024-Oct-25 19:02 |
| php82-pecl-jsmin-3.0.0-r0.apk | 10398 | 2024-Oct-25 19:02 |
| php82-pecl-immutable_cache-6.1.0-r0.apk | 41027 | 2024-Oct-25 19:02 |
| php82-pecl-apfd-1.0.3-r0.apk | 4425 | 2024-Oct-25 19:02 |
| php82-pdlib-1.1.0-r1.apk | 494927 | 2024-Oct-25 19:02 |
| php81-tideways_xhprof-5.0.4-r1.apk | 13202 | 2024-Oct-25 19:02 |
| php81-pecl-xmlrpc-1.0.0_rc3-r2.apk | 35965 | 2024-Oct-25 19:02 |
| php81-pecl-xhprof-assets-2.3.10-r0.apk | 819823 | 2024-Oct-25 19:02 |
| php81-pecl-xhprof-2.3.10-r0.apk | 12417 | 2024-Oct-25 19:02 |
| php81-pecl-vips-1.0.13-r0.apk | 15986 | 2024-Oct-25 19:02 |
| php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 10164 | 2024-Oct-25 19:02 |
| php81-pecl-uploadprogress-2.0.2-r1.apk | 6513 | 2024-Oct-25 19:02 |
| php81-pecl-ssh2-1.4.1-r0.apk | 27367 | 2024-Oct-25 19:02 |
| php81-pecl-psr-1.2.0-r0.apk | 16996 | 2024-Oct-25 19:02 |
| php81-pecl-msgpack-3.0.0-r0.apk | 26765 | 2024-Oct-25 19:02 |
| php81-pecl-memcache-8.2-r1.apk | 41846 | 2024-Oct-25 19:02 |
| php81-pecl-lzf-1.7.0-r0.apk | 7178 | 2024-Oct-25 19:02 |
| php81-pecl-luasandbox-4.1.2-r0.apk | 29506 | 2024-Oct-25 19:02 |
| php81-pecl-jsmin-3.0.0-r0.apk | 10401 | 2024-Oct-25 19:02 |
| php81-pecl-immutable_cache-6.1.0-r0.apk | 40869 | 2024-Oct-25 19:02 |
| php81-pecl-amqp-2.1.2-r0.apk | 53886 | 2024-Oct-25 19:02 |
| php81-pecl-igbinary-3.2.16-r0.apk | 33330 | 2024-Oct-25 19:02 |
| php81-pecl-decimal-1.5.0-r1.apk | 17911 | 2024-Oct-25 19:02 |
| php81-pecl-event-3.1.4-r0.apk | 49175 | 2024-Oct-25 19:02 |
| php83-pecl-zmq-1.1.4-r0.apk | 31214 | 2024-Oct-25 19:02 |
| php83-pecl-uv-0.3.0-r0.apk | 49993 | 2024-Oct-25 19:02 |
| perl-test-memorygrowth-doc-0.05-r0.apk | 5414 | 2024-Oct-25 19:02 |
| perl-test-memorygrowth-0.05-r0.apk | 6575 | 2024-Oct-25 19:02 |
| perl-test-lwp-useragent-doc-0.036-r0.apk | 8549 | 2024-Oct-25 19:02 |
| perl-test-lwp-useragent-0.036-r0.apk | 10069 | 2024-Oct-25 19:02 |
| perl-test-files-doc-0.26-r0.apk | 14949 | 2024-Oct-25 19:02 |
| perl-test-files-0.26-r0.apk | 6895 | 2024-Oct-25 19:02 |
| perl-test-expander-doc-2.5.1-r0.apk | 20558 | 2024-Oct-25 19:02 |
| perl-test-expander-2.5.1-r0.apk | 7285 | 2024-Oct-25 19:02 |
| perl-test-distribution-doc-2.00-r1.apk | 6228 | 2024-Oct-25 19:02 |
| perl-test-distribution-2.00-r1.apk | 7943 | 2024-Oct-25 19:02 |
| perl-test-describeme-doc-0.004-r0.apk | 4285 | 2024-Oct-25 19:02 |
| perl-test-describeme-0.004-r0.apk | 3673 | 2024-Oct-25 19:02 |
| perl-test-class-tiny-doc-0.03-r0.apk | 5569 | 2024-Oct-25 19:02 |
| perl-test-class-tiny-0.03-r0.apk | 6013 | 2024-Oct-25 19:02 |
| perl-test-api-doc-0.010-r2.apk | 4356 | 2024-Oct-25 19:02 |
| perl-test-api-0.010-r2.apk | 5215 | 2024-Oct-25 19:02 |
| perl-term-ui-doc-0.50-r1.apk | 8707 | 2024-Oct-25 19:02 |
| perl-term-ui-0.50-r1.apk | 10232 | 2024-Oct-25 19:02 |
| perl-template-plugin-number-format-doc-1.06-r4.apk | 4507 | 2024-Oct-25 19:02 |
| perl-template-plugin-number-format-1.06-r4.apk | 5024 | 2024-Oct-25 19:02 |
| perl-template-plugin-csv-doc-0.04-r3.apk | 3108 | 2024-Oct-25 19:02 |
| perl-template-plugin-csv-0.04-r3.apk | 2778 | 2024-Oct-25 19:02 |
| perl-system-command-doc-1.122-r0.apk | 10437 | 2024-Oct-25 19:02 |
| perl-system-command-1.122-r0.apk | 12124 | 2024-Oct-25 19:02 |
| perl-string-camelcase-doc-0.04-r2.apk | 3547 | 2024-Oct-25 19:02 |
| perl-string-camelcase-0.04-r2.apk | 3266 | 2024-Oct-25 19:02 |
| perl-storable-improved-doc-0.1.3-r0.apk | 7077 | 2024-Oct-25 19:02 |
| perl-storable-improved-0.1.3-r0.apk | 6865 | 2024-Oct-25 19:02 |
| perl-statistics-descriptive-doc-3.0801-r0.apk | 38407 | 2024-Oct-25 19:02 |
| perl-statistics-descriptive-3.0801-r0.apk | 31032 | 2024-Oct-25 19:02 |
| perl-statistics-basic-doc-1.6611-r0.apk | 50978 | 2024-Oct-25 19:02 |
| perl-statistics-basic-1.6611-r0.apk | 9437 | 2024-Oct-25 19:02 |
| perl-starman-doc-0.4017-r0.apk | 10245 | 2024-Oct-25 19:02 |
| perl-starman-0.4017-r0.apk | 13762 | 2024-Oct-25 19:02 |
| perl-sql-abstract-classic-doc-1.91-r1.apk | 20713 | 2024-Oct-25 19:02 |
| perl-sql-abstract-classic-1.91-r1.apk | 30226 | 2024-Oct-25 19:02 |
| perl-sort-versions-doc-1.62-r0.apk | 4250 | 2024-Oct-25 19:02 |
| perl-sort-versions-1.62-r0.apk | 3833 | 2024-Oct-25 19:02 |
| perl-sort-naturally-doc-1.03-r4.apk | 5598 | 2024-Oct-25 19:02 |
| perl-sort-naturally-1.03-r4.apk | 8879 | 2024-Oct-25 19:02 |
| perl-soap-lite-doc-1.27-r5.apk | 92637 | 2024-Oct-25 19:02 |
| perl-soap-lite-1.27-r5.apk | 112866 | 2024-Oct-25 19:02 |
| perl-session-storage-secure-doc-1.000-r2.apk | 7582 | 2024-Oct-25 19:02 |
| perl-session-storage-secure-1.000-r2.apk | 9047 | 2024-Oct-25 19:02 |
| perl-ryu-doc-4.001-r0.apk | 36243 | 2024-Oct-25 19:02 |
| perl-ryu-async-doc-0.020-r0.apk | 12107 | 2024-Oct-25 19:02 |
| perl-ryu-async-0.020-r0.apk | 7692 | 2024-Oct-25 19:02 |
| perl-ryu-4.001-r0.apk | 26686 | 2024-Oct-25 19:02 |
| perl-rxperl-mojo-doc-6.8.2-r0.apk | 9276 | 2024-Oct-25 19:02 |
| perl-rxperl-mojo-6.8.2-r0.apk | 2912 | 2024-Oct-25 19:02 |
| perl-rxperl-ioasync-doc-6.9.1-r0.apk | 9222 | 2024-Oct-25 19:02 |
| perl-rxperl-ioasync-6.9.1-r0.apk | 2900 | 2024-Oct-25 19:02 |
| perl-rxperl-doc-6.29.8-r0.apk | 23118 | 2024-Oct-25 19:02 |
| perl-rxperl-anyevent-doc-6.8.1-r0.apk | 9181 | 2024-Oct-25 19:02 |
| perl-rxperl-anyevent-6.8.1-r0.apk | 2800 | 2024-Oct-25 19:02 |
| perl-rxperl-6.29.8-r0.apk | 26914 | 2024-Oct-25 19:02 |
| perl-role-eventemitter-doc-0.003-r0.apk | 4051 | 2024-Oct-25 19:02 |
| perl-role-eventemitter-0.003-r0.apk | 3764 | 2024-Oct-25 19:02 |
| perl-protocol-redis-faster-doc-0.003-r0.apk | 3410 | 2024-Oct-25 19:02 |
| perl-protocol-redis-faster-0.003-r0.apk | 3513 | 2024-Oct-25 19:02 |
| perl-protocol-redis-doc-1.0021-r0.apk | 5154 | 2024-Oct-25 19:02 |
| perl-protocol-redis-1.0021-r0.apk | 5749 | 2024-Oct-25 19:02 |
| perl-protocol-database-postgresql-doc-2.001-r0.apk | 38458 | 2024-Oct-25 19:02 |
| perl-protocol-database-postgresql-2.001-r0.apk | 19650 | 2024-Oct-25 19:02 |
| perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2650 | 2024-Oct-25 19:02 |
| perl-promise-es6-io-async-0.28-r0.apk | 3036 | 2024-Oct-25 19:02 |
| perl-promise-es6-future-0.28-r0.apk | 2360 | 2024-Oct-25 19:02 |
| perl-promise-es6-doc-0.28-r0.apk | 12367 | 2024-Oct-25 19:02 |
| perl-promise-es6-anyevent-0.28-r0.apk | 2576 | 2024-Oct-25 19:02 |
| perl-promise-es6-0.28-r0.apk | 10980 | 2024-Oct-25 19:02 |
| perl-proc-guard-doc-0.07-r4.apk | 3624 | 2024-Oct-25 19:02 |
| perl-proc-guard-0.07-r4.apk | 3779 | 2024-Oct-25 19:02 |
| perl-pod-tidy-doc-0.10-r1.apk | 10807 | 2024-Oct-25 19:02 |
| perl-pod-tidy-0.10-r1.apk | 10633 | 2024-Oct-25 19:02 |
| perl-pod-cpandoc-doc-0.16-r6.apk | 5014 | 2024-Oct-25 19:02 |
| perl-pod-cpandoc-0.16-r6.apk | 4679 | 2024-Oct-25 19:02 |
| perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 3125 | 2024-Oct-25 19:02 |
| perl-plack-middleware-reverseproxy-0.16-r2.apk | 3243 | 2024-Oct-25 19:02 |
| perl-plack-middleware-expires-doc-0.06-r3.apk | 3429 | 2024-Oct-25 19:02 |
| perl-plack-middleware-expires-0.06-r3.apk | 3972 | 2024-Oct-25 19:02 |
| perl-path-iter-doc-0.2-r3.apk | 5277 | 2024-Oct-25 19:02 |
| perl-path-iter-0.2-r3.apk | 5345 | 2024-Oct-25 19:02 |
| perl-opentracing-doc-1.006-r0.apk | 33512 | 2024-Oct-25 19:02 |
| perl-opentracing-1.006-r0.apk | 18420 | 2024-Oct-25 19:02 |
| perl-openapi-client-doc-1.07-r0.apk | 7535 | 2024-Oct-25 19:02 |
| perl-openapi-client-1.07-r0.apk | 8855 | 2024-Oct-25 19:02 |
| perl-object-array-doc-0.060-r0.apk | 7129 | 2024-Oct-25 19:02 |
| perl-object-array-0.060-r0.apk | 5832 | 2024-Oct-25 19:02 |
| perl-number-tolerant-doc-1.710-r0.apk | 26256 | 2024-Oct-25 19:02 |
| perl-number-tolerant-1.710-r0.apk | 15192 | 2024-Oct-25 19:02 |
| perl-number-format-doc-1.76-r1.apk | 9227 | 2024-Oct-25 19:02 |
| perl-number-format-1.76-r1.apk | 15618 | 2024-Oct-25 19:02 |
| perl-netaddr-mac-doc-0.98-r1.apk | 8186 | 2024-Oct-25 19:02 |
| perl-netaddr-mac-0.98-r1.apk | 11100 | 2024-Oct-25 19:02 |
| perl-net-irr-doc-0.10-r0.apk | 5290 | 2024-Oct-25 19:02 |
| perl-net-irr-0.10-r0.apk | 5597 | 2024-Oct-25 19:02 |
| perl-net-curl-promiser-mojo-0.20-r0.apk | 3188 | 2024-Oct-25 19:02 |
| perl-net-curl-promiser-ioasync-0.20-r0.apk | 3047 | 2024-Oct-25 19:02 |
| perl-net-curl-promiser-doc-0.20-r0.apk | 11930 | 2024-Oct-25 19:02 |
| perl-net-curl-promiser-anyevent-0.20-r0.apk | 2790 | 2024-Oct-25 19:02 |
| perl-net-curl-promiser-0.20-r0.apk | 9083 | 2024-Oct-25 19:02 |
| perl-net-address-ip-local-doc-0.1.2-r0.apk | 3581 | 2024-Oct-25 19:02 |
| perl-net-address-ip-local-0.1.2-r0.apk | 3532 | 2024-Oct-25 19:02 |
| perl-mojo-sqlite-doc-3.009-r0.apk | 19823 | 2024-Oct-25 19:02 |
| perl-mojo-sqlite-3.009-r0.apk | 16338 | 2024-Oct-25 19:02 |
| perl-mojo-redis-doc-3.29-r0.apk | 25202 | 2024-Oct-25 19:02 |
| perl-mojo-redis-3.29-r0.apk | 25786 | 2024-Oct-25 19:02 |
| perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4486 | 2024-Oct-25 19:02 |
| perl-mojo-reactor-ioasync-1.002-r0.apk | 4850 | 2024-Oct-25 19:02 |
| perl-module-build-prereqs-fromcpanfile-doc-0.02-..> | 3868 | 2024-Oct-25 19:02 |
| perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3521 | 2024-Oct-25 19:02 |
| perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6943 | 2024-Oct-25 19:02 |
| perl-minion-backend-sqlite-5.0.7-r0.apk | 10365 | 2024-Oct-25 19:02 |
| perl-minion-backend-redis-doc-0.003-r0.apk | 6741 | 2024-Oct-25 19:02 |
| perl-minion-backend-redis-0.003-r0.apk | 10758 | 2024-Oct-25 19:02 |
| perl-mastodon-client-doc-0.017-r0.apk | 33777 | 2024-Oct-25 19:02 |
| perl-mastodon-client-0.017-r0.apk | 22593 | 2024-Oct-25 19:02 |
| perl-lwp-useragent-cached-doc-0.08-r1.apk | 5791 | 2024-Oct-25 19:02 |
| perl-lwp-useragent-cached-0.08-r1.apk | 6542 | 2024-Oct-25 19:02 |
| perl-log-message-simple-doc-0.10-r3.apk | 4047 | 2024-Oct-25 19:02 |
| perl-log-message-simple-0.10-r3.apk | 4315 | 2024-Oct-25 19:02 |
| perl-log-message-doc-0.08-r3.apk | 12444 | 2024-Oct-25 19:02 |
| perl-log-message-0.08-r3.apk | 10863 | 2024-Oct-25 19:02 |
| perl-log-fu-doc-0.31-r4.apk | 7440 | 2024-Oct-25 19:02 |
| perl-log-fu-0.31-r4.apk | 10759 | 2024-Oct-25 19:02 |
| perl-list-binarysearch-doc-0.25-r0.apk | 11822 | 2024-Oct-25 19:02 |
| perl-list-binarysearch-0.25-r0.apk | 10197 | 2024-Oct-25 19:02 |
| perl-lib-abs-doc-0.95-r0.apk | 4051 | 2024-Oct-25 19:02 |
| perl-lib-abs-0.95-r0.apk | 3951 | 2024-Oct-25 19:02 |
| perl-json-maybeutf8-doc-2.000-r0.apk | 3646 | 2024-Oct-25 19:02 |
| perl-json-maybeutf8-2.000-r0.apk | 3203 | 2024-Oct-25 19:02 |
| perl-io-sessiondata-1.03-r3.apk | 5896 | 2024-Oct-25 19:02 |
| perl-io-lambda-doc-1.34-r0.apk | 69578 | 2024-Oct-25 19:02 |
| perl-io-lambda-1.34-r0.apk | 77267 | 2024-Oct-25 19:02 |
| perl-i18n-langinfo-wide-doc-9-r4.apk | 4185 | 2024-Oct-25 19:02 |
| perl-i18n-langinfo-wide-9-r4.apk | 4316 | 2024-Oct-25 19:02 |
| perl-http-thin-doc-0.006-r0.apk | 3531 | 2024-Oct-25 19:02 |
| perl-http-thin-0.006-r0.apk | 3160 | 2024-Oct-25 19:02 |
| perl-html-tableextract-doc-2.15-r4.apk | 10159 | 2024-Oct-25 19:02 |
| perl-html-tableextract-2.15-r4.apk | 18102 | 2024-Oct-25 19:02 |
| perl-html-selector-xpath-doc-0.28-r0.apk | 4168 | 2024-Oct-25 19:02 |
| perl-html-selector-xpath-0.28-r0.apk | 6366 | 2024-Oct-25 19:02 |
| perl-hash-ordered-doc-0.014-r0.apk | 19704 | 2024-Oct-25 19:02 |
| perl-hash-ordered-0.014-r0.apk | 10030 | 2024-Oct-25 19:02 |
| perl-gtk3-doc-0.038-r1.apk | 9379 | 2024-Oct-25 19:02 |
| perl-gtk3-0.038-r1.apk | 20116 | 2024-Oct-25 19:02 |
| perl-gtk2-ex-widgetbits-doc-48-r3.apk | 83175 | 2024-Oct-25 19:02 |
| perl-gtk2-ex-widgetbits-48-r3.apk | 67292 | 2024-Oct-25 19:02 |
| perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7468 | 2024-Oct-25 19:02 |
| perl-gtk2-ex-listmodelconcat-11-r4.apk | 13062 | 2024-Oct-25 19:02 |
| perl-graphql-client-doc-0.605-r0.apk | 14323 | 2024-Oct-25 19:02 |
| perl-graphql-client-cli-0.605-r0.apk | 8011 | 2024-Oct-25 19:02 |
| perl-graphql-client-0.605-r0.apk | 7326 | 2024-Oct-25 19:02 |
| perl-glib-ex-objectbits-doc-17-r0.apk | 22926 | 2024-Oct-25 19:02 |
| perl-glib-ex-objectbits-17-r0.apk | 15406 | 2024-Oct-25 19:02 |
| perl-git-version-compare-1.005-r0.apk | 5536 | 2024-Oct-25 19:02 |
| perl-git-repository-doc-1.325-r0.apk | 32261 | 2024-Oct-25 19:02 |
| perl-git-repository-1.325-r0.apk | 16736 | 2024-Oct-25 19:02 |
| perl-getopt-tabular-doc-0.3-r4.apk | 17147 | 2024-Oct-25 19:02 |
| perl-getopt-tabular-0.3-r4.apk | 23802 | 2024-Oct-25 19:02 |
| perl-gearman-doc-2.004.015-r3.apk | 20262 | 2024-Oct-25 19:02 |
| perl-gearman-2.004.015-r3.apk | 28124 | 2024-Oct-25 19:02 |
| perl-future-queue-doc-0.52-r0.apk | 4379 | 2024-Oct-25 19:02 |
| perl-future-queue-0.52-r0.apk | 4186 | 2024-Oct-25 19:02 |
| perl-future-q-doc-0.120-r0.apk | 9340 | 2024-Oct-25 19:02 |
| perl-future-q-0.120-r0.apk | 9838 | 2024-Oct-25 19:02 |
| perl-future-http-doc-0.17-r0.apk | 16051 | 2024-Oct-25 19:02 |
| perl-future-http-0.17-r0.apk | 9455 | 2024-Oct-25 19:02 |
| perl-full-doc-1.004-r0.apk | 10541 | 2024-Oct-25 19:02 |
| perl-full-1.004-r0.apk | 7289 | 2024-Oct-25 19:02 |
| perl-file-rename-doc-2.02-r0.apk | 12377 | 2024-Oct-25 19:02 |
| perl-file-rename-2.02-r0.apk | 7721 | 2024-Oct-25 19:02 |
| perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 5289 | 2024-Oct-25 19:02 |
| perl-ffi-platypus-type-enum-0.06-r0.apk | 5334 | 2024-Oct-25 19:02 |
| perl-ffi-c-doc-0.15-r0.apk | 29285 | 2024-Oct-25 19:02 |
| perl-ffi-c-0.15-r0.apk | 20349 | 2024-Oct-25 19:02 |
| perl-feed-find-doc-0.13-r0.apk | 3833 | 2024-Oct-25 19:02 |
| perl-feed-find-0.13-r0.apk | 3945 | 2024-Oct-25 19:02 |
| perl-extutils-xsbuilder-doc-0.28-r5.apk | 21647 | 2024-Oct-25 19:02 |
| perl-extutils-xsbuilder-0.28-r5.apk | 44093 | 2024-Oct-25 19:02 |
| perl-email-reply-doc-1.204-r5.apk | 4919 | 2024-Oct-25 19:02 |
| perl-email-reply-1.204-r5.apk | 6290 | 2024-Oct-25 19:02 |
| perl-email-mime-attachment-stripper-doc-1.317-r5..> | 3907 | 2024-Oct-25 19:02 |
| perl-email-mime-attachment-stripper-1.317-r5.apk | 4060 | 2024-Oct-25 19:02 |
| perl-email-abstract-doc-3.010-r0.apk | 13206 | 2024-Oct-25 19:02 |
| perl-email-abstract-3.010-r0.apk | 7837 | 2024-Oct-25 19:02 |
| perl-digest-bcrypt-doc-1.212-r1.apk | 5307 | 2024-Oct-25 19:02 |
| perl-digest-bcrypt-1.212-r1.apk | 5715 | 2024-Oct-25 19:02 |
| perl-devel-confess-doc-0.009004-r0.apk | 6812 | 2024-Oct-25 19:02 |
| perl-devel-confess-0.009004-r0.apk | 11698 | 2024-Oct-25 19:02 |
| perl-dbix-introspector-doc-0.001005-r4.apk | 8665 | 2024-Oct-25 19:02 |
| perl-dbix-introspector-0.001005-r4.apk | 8246 | 2024-Oct-25 19:02 |
| perl-dbix-datasource-doc-0.02-r5.apk | 7717 | 2024-Oct-25 19:02 |
| perl-dbix-datasource-0.02-r5.apk | 4400 | 2024-Oct-25 19:02 |
| perl-dbicx-sugar-doc-0.0200-r5.apk | 5464 | 2024-Oct-25 19:02 |
| perl-dbicx-sugar-0.0200-r5.apk | 6032 | 2024-Oct-25 19:02 |
| perl-datetime-timezone-catalog-extend-doc-0.3.3-..> | 15394 | 2024-Oct-25 19:02 |
| perl-datetime-timezone-catalog-extend-0.3.3-r0.apk | 12091 | 2024-Oct-25 19:02 |
| perl-datetime-timezone-alias-doc-0.06-r0.apk | 7811 | 2024-Oct-25 19:02 |
| perl-datetime-timezone-alias-0.06-r0.apk | 2595 | 2024-Oct-25 19:02 |
| perl-database-async-engine-postgresql-doc-1.005-..> | 9539 | 2024-Oct-25 19:02 |
| perl-database-async-engine-postgresql-1.005-r0.apk | 14276 | 2024-Oct-25 19:02 |
| perl-database-async-doc-0.019-r0.apk | 29770 | 2024-Oct-25 19:02 |
| perl-database-async-0.019-r0.apk | 23363 | 2024-Oct-25 19:02 |
| perl-data-validate-ip-doc-0.31-r1.apk | 6002 | 2024-Oct-25 19:02 |
| perl-data-validate-ip-0.31-r1.apk | 9036 | 2024-Oct-25 19:02 |
| perl-data-validate-domain-doc-0.15-r0.apk | 5607 | 2024-Oct-25 19:02 |
| perl-data-validate-domain-0.15-r0.apk | 5985 | 2024-Oct-25 19:02 |
| perl-dancer-session-cookie-doc-0.30-r2.apk | 4276 | 2024-Oct-25 19:02 |
| perl-dancer-session-cookie-0.30-r2.apk | 5593 | 2024-Oct-25 19:02 |
| perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8665 | 2024-Oct-25 19:02 |
| perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9932 | 2024-Oct-25 19:02 |
| perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5484 | 2024-Oct-25 19:02 |
| perl-dancer-plugin-dbic-0.2104-r5.apk | 5046 | 2024-Oct-25 19:02 |
| perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 15172 | 2024-Oct-25 19:02 |
| perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15676 | 2024-Oct-25 19:02 |
| perl-daemon-control-doc-0.001010-r2.apk | 8459 | 2024-Oct-25 19:02 |
| perl-daemon-control-0.001010-r2.apk | 12682 | 2024-Oct-25 19:02 |
| perl-css-object-doc-0.2.0-r0.apk | 33342 | 2024-Oct-25 19:02 |
| perl-css-object-0.2.0-r0.apk | 23303 | 2024-Oct-25 19:02 |
| perl-crypt-saltedhash-doc-0.09-r5.apk | 6519 | 2024-Oct-25 19:02 |
| perl-crypt-saltedhash-0.09-r5.apk | 7117 | 2024-Oct-25 19:02 |
| perl-crypt-random-seed-doc-0.03-r0.apk | 9021 | 2024-Oct-25 19:02 |
| perl-crypt-random-seed-0.03-r0.apk | 11511 | 2024-Oct-25 19:02 |
| perl-context-preserve-doc-0.03-r4.apk | 4317 | 2024-Oct-25 19:02 |
| perl-context-preserve-0.03-r4.apk | 3962 | 2024-Oct-25 19:02 |
| perl-constant-generate-doc-0.17-r5.apk | 7214 | 2024-Oct-25 19:02 |
| perl-constant-generate-0.17-r5.apk | 8964 | 2024-Oct-25 19:02 |
| perl-constant-defer-doc-6-r5.apk | 7117 | 2024-Oct-25 19:02 |
| perl-constant-defer-6-r5.apk | 7579 | 2024-Oct-25 19:02 |
| perl-color-ansi-util-doc-0.165-r0.apk | 5286 | 2024-Oct-25 19:02 |
| perl-color-ansi-util-0.165-r0.apk | 7461 | 2024-Oct-25 19:02 |
| perl-class-c3-doc-0.35-r1.apk | 9506 | 2024-Oct-25 19:02 |
| perl-class-c3-componentised-doc-1.001002-r2.apk | 5468 | 2024-Oct-25 19:02 |
| perl-class-c3-componentised-1.001002-r2.apk | 5658 | 2024-Oct-25 19:02 |
| perl-class-c3-0.35-r1.apk | 9688 | 2024-Oct-25 19:02 |
| perl-class-accessor-grouped-doc-0.10014-r2.apk | 7658 | 2024-Oct-25 19:02 |
| perl-class-accessor-grouped-0.10014-r2.apk | 12309 | 2024-Oct-25 19:02 |
| perl-cgi-expand-doc-2.05-r4.apk | 6309 | 2024-Oct-25 19:02 |
| perl-cgi-expand-2.05-r4.apk | 7042 | 2024-Oct-25 19:02 |
| perl-cache-lru-doc-0.04-r0.apk | 3300 | 2024-Oct-25 19:02 |
| perl-cache-lru-0.04-r0.apk | 3039 | 2024-Oct-25 19:02 |
| perl-bytes-random-secure-doc-0.29-r0.apk | 12452 | 2024-Oct-25 19:02 |
| perl-bytes-random-secure-0.29-r0.apk | 14663 | 2024-Oct-25 19:02 |
| perl-bind-config-parser-doc-0.01-r5.apk | 3714 | 2024-Oct-25 19:02 |
| perl-bind-config-parser-0.01-r5.apk | 3969 | 2024-Oct-25 19:02 |
| perl-archive-extract-doc-0.88-r1.apk | 6996 | 2024-Oct-25 19:02 |
| perl-archive-extract-0.88-r1.apk | 16127 | 2024-Oct-25 19:02 |
| perl-anyevent-riperedis-doc-0.48-r0.apk | 10557 | 2024-Oct-25 19:02 |
| perl-anyevent-riperedis-0.48-r0.apk | 12688 | 2024-Oct-25 19:02 |
| perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 4080 | 2024-Oct-25 19:02 |
| perl-anyevent-dns-etchosts-0.0105-r0.apk | 5229 | 2024-Oct-25 19:02 |
| perl-aliased-doc-0.34-r4.apk | 5807 | 2024-Oct-25 19:02 |
| perl-aliased-0.34-r4.apk | 5796 | 2024-Oct-25 19:02 |
| perl-algorithm-evolutionary-doc-0.82.1-r0.apk | 151277 | 2024-Oct-25 19:02 |
| perl-algorithm-evolutionary-0.82.1-r0.apk | 80624 | 2024-Oct-25 19:02 |
| perl-algorithm-cron-doc-0.10-r4.apk | 4706 | 2024-Oct-25 19:02 |
| perl-algorithm-cron-0.10-r4.apk | 6255 | 2024-Oct-25 19:02 |
| perl-algorithm-c3-doc-0.11-r1.apk | 5222 | 2024-Oct-25 19:02 |
| perl-algorithm-c3-0.11-r1.apk | 5793 | 2024-Oct-25 19:02 |
| perl-algorithm-backoff-doc-0.010-r0.apk | 30180 | 2024-Oct-25 19:02 |
| perl-algorithm-backoff-0.010-r0.apk | 9816 | 2024-Oct-25 19:02 |
| perl-adapter-async-doc-0.019-r0.apk | 17175 | 2024-Oct-25 19:02 |
| perl-adapter-async-0.019-r0.apk | 8329 | 2024-Oct-25 19:02 |
| percona-toolkit-doc-3.5.4-r1.apk | 304664 | 2024-Oct-25 19:02 |
| percona-toolkit-3.5.4-r1.apk | 1862144 | 2024-Oct-25 19:02 |
| pegasus-frontend-doc-16_alpha-r0.apk | 16615 | 2024-Oct-25 19:02 |
| pegasus-frontend-16_alpha-r0.apk | 1275560 | 2024-Oct-25 19:02 |
| peg-doc-0.1.18-r1.apk | 13993 | 2024-Oct-25 19:02 |
| peg-0.1.18-r1.apk | 38955 | 2024-Oct-25 19:02 |
| peervpn-openrc-0.044-r5.apk | 1826 | 2024-Oct-25 19:02 |
| peervpn-0.044-r5.apk | 41118 | 2024-Oct-25 19:02 |
| perl-git-version-compare-doc-1.005-r0.apk | 4983 | 2024-Oct-25 19:02 |
| phoronix-test-suite-doc-10.8.4-r2.apk | 294378 | 2024-Oct-25 19:02 |
| phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1816 | 2024-Oct-25 19:02 |
| phoronix-test-suite-10.8.4-r2.apk | 4138371 | 2024-Oct-25 19:02 |
| pest-language-server-0.3.9-r0.apk | 1097062 | 2024-Oct-25 19:02 |
| perl-xml-rpc-doc-2.1-r0.apk | 4975 | 2024-Oct-25 19:02 |
| perl-xml-rpc-2.1-r0.apk | 5871 | 2024-Oct-25 19:02 |
| perl-xml-parser-style-easytree-doc-0.09-r0.apk | 5547 | 2024-Oct-25 19:02 |
| perl-xml-parser-style-easytree-0.09-r0.apk | 5089 | 2024-Oct-25 19:02 |
| perl-xml-atom-doc-0.43-r0.apk | 16296 | 2024-Oct-25 19:02 |
| perl-xml-atom-0.43-r0.apk | 20084 | 2024-Oct-25 19:02 |
| perl-x-tiny-doc-0.22-r0.apk | 7774 | 2024-Oct-25 19:02 |
| perl-x-tiny-0.22-r0.apk | 7036 | 2024-Oct-25 19:02 |
| perl-variable-disposition-doc-0.005-r0.apk | 5790 | 2024-Oct-25 19:02 |
| perl-variable-disposition-0.005-r0.apk | 3346 | 2024-Oct-25 19:02 |
| perl-url-encode-doc-0.03-r4.apk | 4814 | 2024-Oct-25 19:02 |
| perl-url-encode-0.03-r4.apk | 5280 | 2024-Oct-25 19:02 |
| perl-uri-tcp-doc-2.0.0-r0.apk | 5076 | 2024-Oct-25 19:02 |
| perl-uri-tcp-2.0.0-r0.apk | 2780 | 2024-Oct-25 19:02 |
| perl-uri-redis-doc-0.02-r0.apk | 4682 | 2024-Oct-25 19:02 |
| perl-uri-redis-0.02-r0.apk | 3261 | 2024-Oct-25 19:02 |
| perl-uri-nested-doc-0.10-r0.apk | 3994 | 2024-Oct-25 19:02 |
| perl-uri-nested-0.10-r0.apk | 4104 | 2024-Oct-25 19:02 |
| perl-uri-fetch-doc-0.15-r0.apk | 7704 | 2024-Oct-25 19:02 |
| perl-uri-fetch-0.15-r0.apk | 7227 | 2024-Oct-25 19:02 |
| perl-types-path-tiny-doc-0.006-r0.apk | 4194 | 2024-Oct-25 19:02 |
| perl-types-path-tiny-0.006-r0.apk | 4050 | 2024-Oct-25 19:02 |
| perl-time-moment-role-timezone-doc-1.000-r0.apk | 4081 | 2024-Oct-25 19:02 |
| perl-time-moment-role-timezone-1.000-r0.apk | 3649 | 2024-Oct-25 19:02 |
| perl-time-moment-role-strptime-doc-0.001-r0.apk | 3446 | 2024-Oct-25 19:02 |
| perl-time-moment-role-strptime-0.001-r0.apk | 2814 | 2024-Oct-25 19:02 |
| perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6704 | 2024-Oct-25 19:02 |
| perl-tickit-widget-scrollbox-0.12-r0.apk | 8197 | 2024-Oct-25 19:02 |
| perl-tickit-widget-menu-doc-0.16-r0.apk | 7085 | 2024-Oct-25 19:02 |
| perl-tickit-widget-menu-0.16-r0.apk | 7353 | 2024-Oct-25 19:02 |
| perl-tickit-widget-floatbox-doc-0.11-r0.apk | 4253 | 2024-Oct-25 19:02 |
| perl-tickit-widget-floatbox-0.11-r0.apk | 4808 | 2024-Oct-25 19:02 |
| perl-tickit-widget-entry-plugin-completion-doc-0..> | 3941 | 2024-Oct-25 19:02 |
| perl-tickit-widget-entry-plugin-completion-0.02-..> | 4740 | 2024-Oct-25 19:02 |
| perl-tickit-widget-choice-doc-0.07-r0.apk | 3495 | 2024-Oct-25 19:02 |
| perl-tickit-widget-choice-0.07-r0.apk | 4026 | 2024-Oct-25 19:02 |
| perl-throwable-doc-1.001-r1.apk | 8199 | 2024-Oct-25 19:02 |
| perl-throwable-1.001-r1.apk | 6369 | 2024-Oct-25 19:02 |
| perl-text-table-sprintf-doc-0.008-r0.apk | 5346 | 2024-Oct-25 19:02 |
| perl-text-table-sprintf-0.008-r0.apk | 5486 | 2024-Oct-25 19:02 |
| perl-text-table-any-doc-0.117-r0.apk | 6811 | 2024-Oct-25 19:02 |
| perl-text-table-any-0.117-r0.apk | 8265 | 2024-Oct-25 19:02 |
| perl-text-brew-doc-0.02-r5.apk | 4241 | 2024-Oct-25 19:02 |
| perl-text-brew-0.02-r5.apk | 4643 | 2024-Oct-25 19:02 |
| perl-test2-tools-explain-doc-0.02-r0.apk | 4543 | 2024-Oct-25 19:02 |
| perl-test2-tools-explain-0.02-r0.apk | 3905 | 2024-Oct-25 19:02 |
| perl-test-useallmodules-doc-0.17-r1.apk | 3959 | 2024-Oct-25 19:02 |
| perl-test-useallmodules-0.17-r1.apk | 3916 | 2024-Oct-25 19:02 |
| perl-test-unit-doc-0.27-r0.apk | 49466 | 2024-Oct-25 19:02 |
| perl-test-unit-0.27-r0.apk | 37728 | 2024-Oct-25 19:02 |
| perl-test-trap-doc-0.3.5-r1.apk | 20388 | 2024-Oct-25 19:02 |
| perl-test-trap-0.3.5-r1.apk | 20252 | 2024-Oct-25 19:02 |
| perl-test-settings-doc-0.003-r0.apk | 6186 | 2024-Oct-25 19:02 |
| perl-test-settings-0.003-r0.apk | 5068 | 2024-Oct-25 19:02 |
| perl-test-roo-doc-1.004-r3.apk | 15844 | 2024-Oct-25 19:02 |
| perl-test-roo-1.004-r3.apk | 12199 | 2024-Oct-25 19:02 |
| perl-test-requires-git-doc-1.008-r0.apk | 4510 | 2024-Oct-25 19:02 |
| perl-test-requires-git-1.008-r0.apk | 4904 | 2024-Oct-25 19:02 |
| perl-test-redisserver-doc-0.23-r0.apk | 4181 | 2024-Oct-25 19:02 |
| perl-test-redisserver-0.23-r0.apk | 5097 | 2024-Oct-25 19:02 |
| perl-test-randomresult-doc-0.001-r0.apk | 3763 | 2024-Oct-25 19:02 |
| perl-test-randomresult-0.001-r0.apk | 3608 | 2024-Oct-25 19:02 |
| perl-test-modern-doc-0.013-r3.apk | 10110 | 2024-Oct-25 19:02 |
| perl-test-modern-0.013-r3.apk | 14978 | 2024-Oct-25 19:02 |
| pantalaimon-doc-0.10.5-r4.apk | 6536 | 2024-Oct-25 19:02 |
| pasystray-doc-0.8.2-r0.apk | 3412 | 2024-Oct-25 19:02 |
| pasystray-0.8.2-r0.apk | 46698 | 2024-Oct-25 19:02 |
| pash-2.3.0-r2.apk | 4390 | 2024-Oct-25 19:02 |
| parcellite-lang-1.2.5-r0.apk | 49950 | 2024-Oct-25 19:02 |
| parcellite-doc-1.2.5-r0.apk | 25834 | 2024-Oct-25 19:02 |
| parcellite-1.2.5-r0.apk | 233451 | 2024-Oct-25 19:02 |
| paraexec-1.0-r3.apk | 13954166 | 2024-Oct-25 19:02 |
| par-doc-1.53.0-r1.apk | 31059 | 2024-Oct-25 19:02 |
| par-1.53.0-r1.apk | 14379 | 2024-Oct-25 19:02 |
| paperkey-doc-1.6-r2.apk | 4617 | 2024-Oct-25 19:02 |
| paperkey-1.6-r2.apk | 16318 | 2024-Oct-25 19:02 |
| pantalaimon-ui-0.10.5-r4.apk | 1761 | 2024-Oct-25 19:02 |
| pantalaimon-pyc-0.10.5-r4.apk | 84907 | 2024-Oct-25 19:02 |
| pcem-17-r2.apk | 2095073 | 2024-Oct-25 19:02 |
| pantalaimon-0.10.5-r4.apk | 45837 | 2024-Oct-25 19:02 |
| pamtester-doc-0.1.2-r4.apk | 2954 | 2024-Oct-25 19:02 |
| pamtester-0.1.2-r4.apk | 9370 | 2024-Oct-25 19:02 |
| pam_sqlite3-1.0.2-r2.apk | 8667 | 2024-Oct-25 19:02 |
| pam-krb5-doc-4.11-r1.apk | 24191 | 2024-Oct-25 19:02 |
| pam-krb5-4.11-r1.apk | 22968 | 2024-Oct-25 19:02 |
| pacparser-doc-1.4.5-r1.apk | 18180 | 2024-Oct-25 19:02 |
| pacparser-dev-1.4.5-r1.apk | 3687 | 2024-Oct-25 19:02 |
| pacparser-1.4.5-r1.apk | 766422 | 2024-Oct-25 19:02 |
| p910nd-openrc-0.97-r2.apk | 1880 | 2024-Oct-25 19:02 |
| p910nd-doc-0.97-r2.apk | 3053 | 2024-Oct-25 19:02 |
| p910nd-0.97-r2.apk | 7927 | 2024-Oct-25 19:02 |
| p0f-doc-3.09b-r3.apk | 25868 | 2024-Oct-25 19:02 |
| p0f-3.09b-r3.apk | 76858 | 2024-Oct-25 19:02 |
| oxygen-icons-6.1.0-r0.apk | 33134392 | 2024-Oct-25 19:02 |
| ovpncc-doc-0.1_rc1-r0.apk | 6673 | 2024-Oct-25 19:02 |
| ovn-openrc-24.03.1-r0.apk | 4250 | 2024-Oct-25 19:02 |
| ovn-doc-24.03.1-r0.apk | 524215 | 2024-Oct-25 19:02 |
| ovn-dev-24.03.1-r0.apk | 11107654 | 2024-Oct-25 19:02 |
| ovn-dbg-24.03.1-r0.apk | 27205093 | 2024-Oct-25 19:02 |
| ovpncc-0.1_rc1-r0.apk | 12235 | 2024-Oct-25 19:02 |
| ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 4062 | 2024-Oct-25 19:02 |
| ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 46733 | 2024-Oct-25 19:02 |
| ovos-0.0.1-r1.apk | 1506 | 2024-Oct-25 19:02 |
| otrs-apache2-6.0.48-r2.apk | 4122 | 2024-Oct-25 19:02 |
| otrs-6.0.48-r2.apk | 30073385 | 2024-Oct-25 19:02 |
| otf-atkinson-hyperlegible-doc-2020.0514-r1.apk | 48832 | 2024-Oct-25 19:02 |
| otf-atkinson-hyperlegible-2020.0514-r1.apk | 104062 | 2024-Oct-25 19:02 |
| osmctools-0.9-r0.apk | 122288 | 2024-Oct-25 19:02 |
| opmsg-1.84-r1.apk | 278140 | 2024-Oct-25 19:02 |
| opkg-utils-doc-0.7.0-r0.apk | 3706 | 2024-Oct-25 19:02 |
| opkg-utils-0.7.0-r0.apk | 25803 | 2024-Oct-25 19:02 |
| opkg-libs-0.7.0-r0.apk | 78669 | 2024-Oct-25 19:02 |
| opkg-doc-0.7.0-r0.apk | 7834 | 2024-Oct-25 19:02 |
| opkg-dev-0.7.0-r0.apk | 112054 | 2024-Oct-25 19:02 |
| opkg-0.7.0-r0.apk | 10077 | 2024-Oct-25 19:02 |
| ovn-24.03.1-r0.apk | 7271850 | 2024-Oct-25 19:02 |
| otrs-setup-6.0.48-r2.apk | 109916 | 2024-Oct-25 19:02 |
| otrs-openrc-6.0.48-r2.apk | 1936 | 2024-Oct-25 19:02 |
| otrs-nginx-6.0.48-r2.apk | 1834 | 2024-Oct-25 19:02 |
| otrs-fastcgi-6.0.48-r2.apk | 1810 | 2024-Oct-25 19:02 |
| otrs-doc-6.0.48-r2.apk | 814224 | 2024-Oct-25 19:02 |
| otrs-dev-6.0.48-r2.apk | 4058534 | 2024-Oct-25 19:02 |
| otrs-bash-completion-6.0.48-r2.apk | 2461 | 2024-Oct-25 19:02 |
| openspades-0.1.3-r5.apk | 11778052 | 2024-Oct-25 19:02 |
| openswitcher-proxy-openrc-0.5.0-r4.apk | 2083 | 2024-Oct-25 19:02 |
| openswitcher-proxy-0.5.0-r4.apk | 9608 | 2024-Oct-25 19:02 |
| openswitcher-0.5.0-r4.apk | 151969 | 2024-Oct-25 19:02 |
| openspades-doc-0.1.3-r5.apk | 20083 | 2024-Oct-25 19:02 |
| opensm-openrc-3.3.24-r2.apk | 2899 | 2024-Oct-25 19:02 |
| opensm-doc-3.3.24-r2.apk | 38775 | 2024-Oct-25 19:02 |
| opensm-dev-3.3.24-r2.apk | 224040 | 2024-Oct-25 19:02 |
| opensm-3.3.24-r2.apk | 478496 | 2024-Oct-25 19:02 |
| openslide-tools-3.4.1-r3.apk | 9319 | 2024-Oct-25 19:02 |
| openslide-doc-3.4.1-r3.apk | 5064 | 2024-Oct-25 19:02 |
| openslide-dev-3.4.1-r3.apk | 7046 | 2024-Oct-25 19:02 |
| openslide-3.4.1-r3.apk | 81974 | 2024-Oct-25 19:02 |
| openscap-daemon-pyc-0.1.10-r9.apk | 104321 | 2024-Oct-25 19:02 |
| openscap-daemon-doc-0.1.10-r9.apk | 17965 | 2024-Oct-25 19:02 |
| openscap-daemon-0.1.10-r9.apk | 61606 | 2024-Oct-25 19:02 |
| openjfx-doc-21.0.3_p1-r0.apk | 99130 | 2024-Oct-25 19:02 |
| openjfx-demos-21.0.3_p1-r0.apk | 29625235 | 2024-Oct-25 19:02 |
| openocd-riscv-udev-rules-0_git20230104-r2.apk | 3354 | 2024-Oct-25 19:02 |
| openocd-riscv-0_git20230104-r2.apk | 1578085 | 2024-Oct-25 19:02 |
| openocd-riscv-dev-0_git20230104-r2.apk | 3813 | 2024-Oct-25 19:02 |
| openocd-riscv-doc-0_git20230104-r2.apk | 3371 | 2024-Oct-25 19:02 |
| openjfx-21.0.3_p1-r0.apk | 9336890 | 2024-Oct-25 19:02 |
| openfpgaloader-0.11.0-r0.apk | 2007291 | 2024-Oct-25 19:02 |
| openapi-validator-1.19.2-r0.apk | 10418371 | 2024-Oct-25 19:02 |
| one-dnn-dev-3.1-r0.apk | 116888 | 2024-Oct-25 19:02 |
| one-dnn-3.1-r0.apk | 16619291 | 2024-Oct-25 19:02 |
| onioncat-doc-4.11.0-r1.apk | 19693 | 2024-Oct-25 19:02 |
| openapi-tui-0.9.4-r1.apk | 4257297 | 2024-Oct-25 19:02 |
| onioncat-4.11.0-r1.apk | 58222 | 2024-Oct-25 19:02 |
| one-dnn-doc-3.1-r0.apk | 16401 | 2024-Oct-25 19:02 |
| ocfs2-tools-doc-1.8.7-r4.apk | 71017 | 2024-Oct-25 19:02 |
| ocfs2-tools-1.8.7-r4.apk | 1161381 | 2024-Oct-25 19:02 |
| ocfs2-tools-dev-1.8.7-r4.apk | 46030 | 2024-Oct-25 19:02 |
| olsrd-plugins-0.9.8-r3.apk | 177387 | 2024-Oct-25 19:02 |
| olsrd-openrc-0.9.8-r3.apk | 1919 | 2024-Oct-25 19:02 |
| olsrd-doc-0.9.8-r3.apk | 25670 | 2024-Oct-25 19:02 |
| olsrd-0.9.8-r3.apk | 169673 | 2024-Oct-25 19:02 |
| oil-doc-0.21.0-r0.apk | 7162 | 2024-Oct-25 19:02 |
| oil-0.21.0-r0.apk | 1529721 | 2024-Oct-25 19:02 |
| odyssey-openrc-1.3-r3.apk | 2069 | 2024-Oct-25 19:02 |
| odyssey-1.3-r3.apk | 127602 | 2024-Oct-25 19:02 |
| octoprint-pisupport-pyc-2023.10.10-r1.apk | 13120 | 2024-Oct-25 19:02 |
| octoprint-pisupport-2023.10.10-r1.apk | 31576 | 2024-Oct-25 19:02 |
| octoprint-firmwarecheck-pyc-2021.10.11-r2.apk | 18018 | 2024-Oct-25 19:02 |
| octoprint-firmwarecheck-2021.10.11-r2.apk | 30010 | 2024-Oct-25 19:02 |
| octoprint-creality2xfix-pyc-0.0.4-r2.apk | 3414 | 2024-Oct-25 19:02 |
| octoprint-creality2xfix-0.0.4-r2.apk | 4825 | 2024-Oct-25 19:02 |
| ocaml-zed-dev-3.1.0-r3.apk | 1187361 | 2024-Oct-25 19:02 |
| ocaml-utop-dev-2.9.1-r4.apk | 781095 | 2024-Oct-25 19:02 |
| ocaml-utop-2.9.1-r4.apk | 357612 | 2024-Oct-25 19:02 |
| ocaml-trie-dev-1.0.0-r2.apk | 26359 | 2024-Oct-25 19:02 |
| ocaml-trie-1.0.0-r2.apk | 16445 | 2024-Oct-25 19:02 |
| ocaml-zed-3.1.0-r3.apk | 530921 | 2024-Oct-25 19:02 |
| ocaml-sha-1.15.4-r0.apk | 63860 | 2024-Oct-25 19:02 |
| ocaml-sha-dev-1.15.4-r0.apk | 236950 | 2024-Oct-25 19:02 |
| ocaml-omake-0.10.6-r0.apk | 1716204 | 2024-Oct-25 19:02 |
| ocaml-qtest-dev-2.11.2-r3.apk | 3737 | 2024-Oct-25 19:02 |
| ocaml-qtest-2.11.2-r3.apk | 339144 | 2024-Oct-25 19:02 |
| ocaml-qcheck-dev-0.18.1-r3.apk | 1407980 | 2024-Oct-25 19:02 |
| ocaml-qcheck-0.18.1-r3.apk | 685747 | 2024-Oct-25 19:02 |
| ocaml-ptmap-dev-2.0.5-r3.apk | 97163 | 2024-Oct-25 19:02 |
| ocaml-ptmap-2.0.5-r3.apk | 55652 | 2024-Oct-25 19:02 |
| ocaml-omake-doc-0.10.6-r0.apk | 8233 | 2024-Oct-25 19:02 |
| ocaml-mqtt-0.2.2-r0.apk | 160484 | 2024-Oct-25 19:02 |
| ocaml-obuild-0.1.11-r0.apk | 1135568 | 2024-Oct-25 19:02 |
| ocaml-mqtt-dev-0.2.2-r0.apk | 264277 | 2024-Oct-25 19:02 |
| ocaml-mew_vi-dev-0.5.0-r3.apk | 302910 | 2024-Oct-25 19:02 |
| ocaml-mew_vi-0.5.0-r3.apk | 188239 | 2024-Oct-25 19:02 |
| ocaml-mew-dev-0.1.0-r3.apk | 100074 | 2024-Oct-25 19:02 |
| ocaml-mew-0.1.0-r3.apk | 72067 | 2024-Oct-25 19:02 |
| ocaml-libvirt-doc-0.6.1.7-r0.apk | 14058 | 2024-Oct-25 19:02 |
| ocaml-libvirt-dev-0.6.1.7-r0.apk | 96443 | 2024-Oct-25 19:02 |
| ocaml-libvirt-0.6.1.7-r0.apk | 179664 | 2024-Oct-25 19:02 |
| ocaml-lambda-term-doc-3.2.0-r4.apk | 9020 | 2024-Oct-25 19:02 |
| ocaml-lambda-term-dev-3.2.0-r4.apk | 4011746 | 2024-Oct-25 19:02 |
| ocaml-lambda-term-3.2.0-r4.apk | 3611735 | 2024-Oct-25 19:02 |
| ocaml-gettext-doc-0.4.2-r3.apk | 19646 | 2024-Oct-25 19:02 |
| ocaml-gettext-dev-0.4.2-r3.apk | 752397 | 2024-Oct-25 19:02 |
| ocaml-gettext-0.4.2-r3.apk | 3599048 | 2024-Oct-25 19:02 |
| ocaml-fileutils-doc-0.6.4-r2.apk | 16184 | 2024-Oct-25 19:02 |
| ocaml-fileutils-dev-0.6.4-r2.apk | 620611 | 2024-Oct-25 19:02 |
| ocaml-fileutils-0.6.4-r2.apk | 320223 | 2024-Oct-25 19:02 |
| ocaml-camomile-1.0.2-r3.apk | 1374065 | 2024-Oct-25 19:02 |
| ocaml-camomile-data-1.0.2-r3.apk | 5343450 | 2024-Oct-25 19:02 |
| ocaml-charinfo_width-dev-1.1.0-r3.apk | 195025 | 2024-Oct-25 19:02 |
| ocaml-charinfo_width-1.1.0-r3.apk | 106870 | 2024-Oct-25 19:02 |
| ocaml-camomile-dev-1.0.2-r3.apk | 2608425 | 2024-Oct-25 19:02 |
| nvim-cmp-luasnip-0.0.0_git20220501-r1.apk | 3606 | 2024-Oct-25 19:02 |
| nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk | 2672 | 2024-Oct-25 19:02 |
| nvim-cmp-lsp-0.0.0_git20220516-r1.apk | 3559 | 2024-Oct-25 19:02 |
| nvim-cmp-doc-0.0.0_git20221011-r1.apk | 10711 | 2024-Oct-25 19:02 |
| nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk | 1833 | 2024-Oct-25 19:02 |
| nvim-cmp-cmdline-0.0.0_git20220902-r1.apk | 3395 | 2024-Oct-25 19:02 |
| nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk | 4254 | 2024-Oct-25 19:02 |
| nvim-cmp-buffer-0.0.0_git20220810-r1.apk | 7998 | 2024-Oct-25 19:02 |
| nvim-cmp-0.0.0_git20221011-r1.apk | 56161 | 2024-Oct-25 19:02 |
| nvim-cmp-path-0.0.0_git20221002-r1.apk | 3858 | 2024-Oct-25 19:02 |
| objconv-2.52_git20210213-r2.apk | 256652 | 2024-Oct-25 19:02 |
| nvimpager-zsh-completion-0.12.0-r0.apk | 1870 | 2024-Oct-25 19:02 |
| nvimpager-doc-0.12.0-r0.apk | 4532 | 2024-Oct-25 19:02 |
| nvimpager-0.12.0-r0.apk | 12894 | 2024-Oct-25 19:02 |
| nvim-packer-doc-0.0.0_git20220910-r1.apk | 21692 | 2024-Oct-25 19:02 |
| nvim-packer-0.0.0_git20220910-r1.apk | 46702 | 2024-Oct-25 19:02 |
| nvim-gruvbox-doc-0.0.0_git20221212-r1.apk | 2865 | 2024-Oct-25 19:02 |
| nvim-gruvbox-0.0.0_git20221212-r1.apk | 10418 | 2024-Oct-25 19:02 |
| nvim-cmp-path-doc-0.0.0_git20221002-r1.apk | 2022 | 2024-Oct-25 19:02 |
| nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk | 2089 | 2024-Oct-25 19:02 |
| nsh-0.4.2-r1.apk | 627467 | 2024-Oct-25 19:02 |
| nullmailer-doc-2.2-r4.apk | 10462 | 2024-Oct-25 19:02 |
| nullmailer-2.2-r4.apk | 125240 | 2024-Oct-25 19:02 |
| nuklear-doc-4.12.0-r0.apk | 43454 | 2024-Oct-25 19:02 |
| nuklear-4.12.0-r0.apk | 225114 | 2024-Oct-25 19:02 |
| nsnake-doc-3.0.0-r0.apk | 2692 | 2024-Oct-25 19:02 |
| nsnake-3.0.0-r0.apk | 9798 | 2024-Oct-25 19:02 |
| nsh-dbg-0.4.2-r1.apk | 3531331 | 2024-Oct-25 19:02 |
| nullmailer-openrc-2.2-r4.apk | 1634 | 2024-Oct-25 19:02 |
| ngs-vim-0.2.14-r0.apk | 5011 | 2024-Oct-25 19:02 |
| noice-doc-0.8-r1.apk | 3440 | 2024-Oct-25 19:02 |
| noice-0.8-r1.apk | 9798 | 2024-Oct-25 19:02 |
| noggin-model-lightweight-0.1-r0.apk | 1762339 | 2024-Oct-25 19:02 |
| noggin-model-0.1-r0.apk | 12576153 | 2024-Oct-25 19:02 |
| node-libpg-query-13.1.2-r5.apk | 17989 | 2024-Oct-25 19:02 |
| noblenote-1.2.1-r1.apk | 409380 | 2024-Oct-25 19:02 |
| nkk-doc-0_git20221010-r0.apk | 7141 | 2024-Oct-25 19:02 |
| nkk-dev-0_git20221010-r0.apk | 2975 | 2024-Oct-25 19:02 |
| nkk-0_git20221010-r0.apk | 15741 | 2024-Oct-25 19:02 |
| nitrocli-doc-0.4.1-r3.apk | 9041 | 2024-Oct-25 19:02 |
| nitrocli-bash-completion-0.4.1-r3.apk | 3323 | 2024-Oct-25 19:02 |
| nitrocli-0.4.1-r3.apk | 441258 | 2024-Oct-25 19:02 |
| nitro-dev-2.7_beta8-r2.apk | 194539 | 2024-Oct-25 19:02 |
| nitro-2.7_beta8-r2.apk | 515471 | 2024-Oct-25 19:02 |
| ngs-aws-0.2.14-r0.apk | 33463 | 2024-Oct-25 19:02 |
| ngs-0.2.14-r0.apk | 299691 | 2024-Oct-25 19:02 |
| nginx-ultimate-bad-bot-blocker-doc-4.2023.10.404..> | 21776 | 2024-Oct-25 19:02 |
| nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1..> | 729829 | 2024-Oct-25 19:02 |
| nextpnr-ice40-0.7-r0.apk | 71886667 | 2024-Oct-25 19:02 |
| newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk | 4675933 | 2024-Oct-25 19:02 |
| newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk | 4536128 | 2024-Oct-25 19:02 |
| newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk | 4497115 | 2024-Oct-25 19:02 |
| nextpnr-generic-0.7-r0.apk | 761357 | 2024-Oct-25 19:02 |
| newlib-esp-0_git20240109-r0.apk | 1556 | 2024-Oct-25 19:02 |
| netscanner-doc-0.5.1-r1.apk | 3410 | 2024-Oct-25 19:02 |
| netscanner-0.5.1-r1.apk | 3718312 | 2024-Oct-25 19:02 |
| nextpnr-ecp5-0.7-r0.apk | 26662193 | 2024-Oct-25 19:02 |
| nextpnr-0.7-r0.apk | 1484 | 2024-Oct-25 19:02 |
| newsyslog-doc-1.2.0.91-r1.apk | 24532 | 2024-Oct-25 19:02 |
| nextpnr-gowin-0.7-r0.apk | 1545008 | 2024-Oct-25 19:02 |
| newsyslog-1.2.0.91-r1.apk | 18153 | 2024-Oct-25 19:02 |
| newlib-esp-xtensa-none-elf-0_git20240109-r0.apk | 1113268 | 2024-Oct-25 19:02 |
| newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk | 4498948 | 2024-Oct-25 19:02 |
| mxclient-0_git20211002-r1.apk | 78159 | 2024-Oct-25 19:02 |
| mstflint-doc-4.26.0.1-r0.apk | 18396 | 2024-Oct-25 19:02 |
| mstflint-4.26.0.1-r0.apk | 4255683 | 2024-Oct-25 19:02 |
| msr-tools-1.3-r1.apk | 10099 | 2024-Oct-25 19:02 |
| mspdebug-doc-0.25-r1.apk | 14553 | 2024-Oct-25 19:02 |
| mspdebug-0.25-r1.apk | 207056 | 2024-Oct-25 19:02 |
| msgpuck-doc-2.0-r1.apk | 7498 | 2024-Oct-25 19:02 |
| msgpuck-dev-2.0-r1.apk | 22779 | 2024-Oct-25 19:02 |
| msgpuck-2.0-r1.apk | 1204 | 2024-Oct-25 19:02 |
| mrsh-libs-0_git20210518-r1.apk | 60740 | 2024-Oct-25 19:02 |
| mrsh-dbg-0_git20210518-r1.apk | 210366 | 2024-Oct-25 19:02 |
| mrsh-0_git20210518-r1.apk | 5830 | 2024-Oct-25 19:02 |
| mpdris2-lang-0.9.1-r3.apk | 2391 | 2024-Oct-25 19:02 |
| mpdris2-doc-0.9.1-r3.apk | 15225 | 2024-Oct-25 19:02 |
| mpdris2-0.9.1-r3.apk | 15552 | 2024-Oct-25 19:02 |
| mpdcron-zsh-completion-0.3-r1.apk | 2952 | 2024-Oct-25 19:02 |
| mpdcron-doc-0.3-r1.apk | 13688 | 2024-Oct-25 19:02 |
| mpdcron-dev-0.3-r1.apk | 56557 | 2024-Oct-25 19:02 |
| mpdcron-0.3-r1.apk | 101490 | 2024-Oct-25 19:02 |
| mp3val-0.1.8-r1.apk | 13295 | 2024-Oct-25 19:02 |
| mrsh-dev-0_git20210518-r1.apk | 10227 | 2024-Oct-25 19:02 |
| neo4j-client-doc-2.2.0-r3.apk | 5481 | 2024-Oct-25 19:02 |
| neo4j-client-2.2.0-r3.apk | 29823 | 2024-Oct-25 19:02 |
| nemo-gtkhash-plugin-1.5-r0.apk | 23652 | 2024-Oct-25 19:02 |
| neard-openrc-0.19-r0.apk | 1752 | 2024-Oct-25 19:02 |
| neard-doc-0.19-r0.apk | 5742 | 2024-Oct-25 19:02 |
| neard-dev-0.19-r0.apk | 11359 | 2024-Oct-25 19:02 |
| neard-0.19-r0.apk | 136636 | 2024-Oct-25 19:02 |
| ndpi-dev-4.10-r0.apk | 900325 | 2024-Oct-25 19:02 |
| ndpi-4.10-r0.apk | 1376411 | 2024-Oct-25 19:02 |
| nbsdgames-doc-5-r0.apk | 9618 | 2024-Oct-25 19:02 |
| nbsdgames-5-r0.apk | 102964 | 2024-Oct-25 19:02 |
| nano-hare-0_git20231021-r0.apk | 2235 | 2024-Oct-25 19:02 |
| n30f-2.0-r3.apk | 7023 | 2024-Oct-25 19:02 |
| moon-buggy-doc-1.0.51-r1.apk | 7306 | 2024-Oct-25 19:02 |
| moon-buggy-1.0.51-r1.apk | 36815 | 2024-Oct-25 19:02 |
| monetdb-11.33.11-r4.apk | 2490300 | 2024-Oct-25 19:02 |
| mongo-cxx-driver-dev-3.8.0-r0.apk | 91018 | 2024-Oct-25 19:02 |
| mongo-cxx-driver-3.8.0-r0.apk | 181297 | 2024-Oct-25 19:02 |
| monetdb-doc-11.33.11-r4.apk | 328635 | 2024-Oct-25 19:02 |
| monetdb-dev-11.33.11-r4.apk | 78951 | 2024-Oct-25 19:02 |
| moka-icon-theme-5.4.0-r2.apk | 119267281 | 2024-Oct-25 19:02 |
| mmix-0_git20221025-r0.apk | 160701 | 2024-Oct-25 19:02 |
| moe-1.14-r0.apk | 106852 | 2024-Oct-25 19:02 |
| modem-manager-gui-lang-0.0.20-r0.apk | 132369 | 2024-Oct-25 19:02 |
| modem-manager-gui-doc-0.0.20-r0.apk | 4130239 | 2024-Oct-25 19:02 |
| modem-manager-gui-0.0.20-r0.apk | 351260 | 2024-Oct-25 19:02 |
| mobpass-pyc-0.2-r6.apk | 5369 | 2024-Oct-25 19:02 |
| mobpass-0.2-r6.apk | 18469 | 2024-Oct-25 19:02 |
| mnemosyne-pyc-2.10.1-r1.apk | 642914 | 2024-Oct-25 19:02 |
| mnemosyne-lang-2.10.1-r1.apk | 449125 | 2024-Oct-25 19:02 |
| mnemosyne-2.10.1-r1.apk | 621669 | 2024-Oct-25 19:02 |
| mnamer-pyc-2.5.5-r1.apk | 61932 | 2024-Oct-25 19:02 |
| mnamer-2.5.5-r1.apk | 32475 | 2024-Oct-25 19:02 |
| moe-doc-1.14-r0.apk | 19460 | 2024-Oct-25 19:02 |
| mm-doc-1.4.2-r1.apk | 14754 | 2024-Oct-25 19:02 |
| mm-dev-1.4.2-r1.apk | 13568 | 2024-Oct-25 19:02 |
| mm-1.4.2-r1.apk | 8064 | 2024-Oct-25 19:02 |
| mlxl-0.1-r0.apk | 6151 | 2024-Oct-25 19:02 |
| mktorrent-borg-doc-0.9.9-r1.apk | 2546 | 2024-Oct-25 19:02 |
| mktorrent-borg-0.9.9-r1.apk | 10052 | 2024-Oct-25 19:02 |
| mkg3a-doc-0.5.0-r1.apk | 3130 | 2024-Oct-25 19:02 |
| mkg3a-0.5.0-r1.apk | 14147 | 2024-Oct-25 19:02 |
| mkdocs-windmill-pyc-1.0.5-r4.apk | 1850 | 2024-Oct-25 19:02 |
| mkdocs-windmill-1.0.5-r4.apk | 966718 | 2024-Oct-25 19:02 |
| mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk | 1857 | 2024-Oct-25 19:02 |
| mkdocs-rtd-dropdown-1.0.2-r5.apk | 254334 | 2024-Oct-25 19:02 |
| mkdocs-ivory-pyc-0.4.6-r5.apk | 1848 | 2024-Oct-25 19:02 |
| mkdocs-ivory-0.4.6-r5.apk | 11193 | 2024-Oct-25 19:02 |
| mkdocs-gitbook-pyc-0.0.1-r5.apk | 1856 | 2024-Oct-25 19:02 |
| mkdocs-gitbook-0.0.1-r5.apk | 659593 | 2024-Oct-25 19:02 |
| mkdocs-cluster-pyc-0.0.9-r5.apk | 1858 | 2024-Oct-25 19:02 |
| mkdocs-cluster-0.0.9-r5.apk | 666763 | 2024-Oct-25 19:02 |
| mkdocs-cinder-pyc-1.2.0-r5.apk | 1836 | 2024-Oct-25 19:02 |
| mkdocs-cinder-1.2.0-r5.apk | 254599 | 2024-Oct-25 19:02 |
| mkdocs-bootswatch-pyc-1.1-r5.apk | 4834 | 2024-Oct-25 19:02 |
| mkdocs-bootswatch-1.1-r5.apk | 551323 | 2024-Oct-25 19:02 |
| mkdocs-bootstrap4-pyc-0.1.5-r5.apk | 1852 | 2024-Oct-25 19:02 |
| mkdocs-bootstrap4-0.1.5-r5.apk | 266295 | 2024-Oct-25 19:02 |
| mkdocs-bootstrap386-pyc-0.0.2-r5.apk | 1856 | 2024-Oct-25 19:02 |
| mkdocs-bootstrap386-0.0.2-r5.apk | 810241 | 2024-Oct-25 19:02 |
| mkdocs-bootstrap-pyc-1.1.1-r2.apk | 1853 | 2024-Oct-25 19:02 |
| mkdocs-bootstrap-1.1.1-r2.apk | 29207 | 2024-Oct-25 19:02 |
| minisatip-openrc-1.3.4-r0.apk | 1943 | 2024-Oct-25 19:02 |
| minisatip-1.3.4-r0.apk | 318300 | 2024-Oct-25 19:02 |
| minimodem-doc-0.24-r1.apk | 5322 | 2024-Oct-25 19:02 |
| minimodem-0.24-r1.apk | 21423 | 2024-Oct-25 19:02 |
| minidyndns-openrc-1.3.0-r3.apk | 1889 | 2024-Oct-25 19:02 |
| minidyndns-doc-1.3.0-r3.apk | 5228 | 2024-Oct-25 19:02 |
| minidyndns-1.3.0-r3.apk | 11922 | 2024-Oct-25 19:02 |
| mimeo-pyc-2023-r2.apk | 42551 | 2024-Oct-25 19:02 |
| mimeo-2023-r2.apk | 28827 | 2024-Oct-25 19:02 |
| milkytracker-doc-1.04.00-r2.apk | 51626 | 2024-Oct-25 19:02 |
| milkytracker-1.04.00-r2.apk | 1016967 | 2024-Oct-25 19:02 |
| metalang99-1.13.3-r0.apk | 55601 | 2024-Oct-25 19:02 |
| mergerfs-doc-2.40.2-r1.apk | 42827 | 2024-Oct-25 19:02 |
| mergerfs-2.40.2-r1.apk | 285055 | 2024-Oct-25 19:02 |
| menumaker-0.99.14-r1.apk | 113687 | 2024-Oct-25 19:02 |
| memdump-doc-1.01-r1.apk | 3192 | 2024-Oct-25 19:02 |
| memdump-1.01-r1.apk | 5840 | 2024-Oct-25 19:02 |
| mediastreamer2-plugin-x264-20200722-r6.apk | 7837 | 2024-Oct-25 19:02 |
| mdnsd-static-0.12-r1.apk | 17830 | 2024-Oct-25 19:02 |
| mdnsd-openrc-0.12-r1.apk | 2186 | 2024-Oct-25 19:02 |
| mdnsd-libs-0.12-r1.apk | 18126 | 2024-Oct-25 19:02 |
| mdnsd-doc-0.12-r1.apk | 14705 | 2024-Oct-25 19:02 |
| mdnsd-0.12-r1.apk | 24201 | 2024-Oct-25 19:02 |
| mdbook-plantuml-0.8.0-r0.apk | 907931 | 2024-Oct-25 19:02 |
| md5ha1-0_git20171202-r1.apk | 8972 | 2024-Oct-25 19:02 |
| mcqd-dev-1.0.0-r1.apk | 4148 | 2024-Oct-25 19:02 |
| mcqd-1.0.0-r1.apk | 12821 | 2024-Oct-25 19:02 |
| mcjoin-doc-2.11-r0.apk | 55021 | 2024-Oct-25 19:02 |
| mcjoin-2.11-r0.apk | 23821 | 2024-Oct-25 19:02 |
| mbrola-3.3-r0.apk | 22069 | 2024-Oct-25 19:02 |
| mbpfan-openrc-2.4.0-r1.apk | 1688 | 2024-Oct-25 19:02 |
| mbpfan-doc-2.4.0-r1.apk | 5402 | 2024-Oct-25 19:02 |
| mbpfan-2.4.0-r1.apk | 13062 | 2024-Oct-25 19:02 |
| marxan-4.0.7-r1.apk | 553673 | 2024-Oct-25 19:02 |
| materia-light-kde-plasma-20220823-r0.apk | 20595 | 2024-Oct-25 19:02 |
| materia-light-kde-kvantum-20220823-r0.apk | 30311 | 2024-Oct-25 19:02 |
| materia-light-compact-kde-kvantum-20220823-r0.apk | 1508 | 2024-Oct-25 19:02 |
| materia-kde-plasma-20220823-r0.apk | 1782946 | 2024-Oct-25 19:02 |
| materia-kde-kvantum-20220823-r0.apk | 30671 | 2024-Oct-25 19:02 |
| materia-kde-konsole-20220823-r0.apk | 1877 | 2024-Oct-25 19:02 |
| materia-light-kde-yakuake-20220823-r0.apk | 22010 | 2024-Oct-25 19:02 |
| materia-kde-20220823-r0.apk | 19804 | 2024-Oct-25 19:02 |
| materia-dark-kde-yakuake-20220823-r0.apk | 22252 | 2024-Oct-25 19:02 |
| materia-dark-kde-plasma-20220823-r0.apk | 515004 | 2024-Oct-25 19:02 |
| materia-dark-kde-kvantum-20220823-r0.apk | 30715 | 2024-Oct-25 19:02 |
| materia-dark-kde-konsole-20220823-r0.apk | 1890 | 2024-Oct-25 19:02 |
| materia-dark-compact-kde-kvantum-20220823-r0.apk | 1506 | 2024-Oct-25 19:02 |
| mangr0ve-0.1.2-r0.apk | 2873 | 2024-Oct-25 19:02 |
| mame-tools-0.251-r0.apk | 2762087 | 2024-Oct-25 19:02 |
| mame-plugins-0.251-r0.apk | 170319 | 2024-Oct-25 19:02 |
| mame-mess-0.251-r0.apk | 54220845 | 2024-Oct-25 19:02 |
| mangr0ve-doc-0.1.2-r0.apk | 14771 | 2024-Oct-25 19:02 |
| mame-arcade-0.251-r0.apk | 70779957 | 2024-Oct-25 19:02 |
| mame-common-0.251-r0.apk | 2722 | 2024-Oct-25 19:02 |
| mame-data-0.251-r0.apk | 20049131 | 2024-Oct-25 19:02 |
| mame-doc-0.251-r0.apk | 24640 | 2024-Oct-25 19:02 |
| mame-lang-0.251-r0.apk | 1495444 | 2024-Oct-25 19:02 |
| mame-0.251-r0.apk | 100905156 | 2024-Oct-25 19:02 |
| mailctl-zsh-completion-0.9.2-r0.apk | 2005 | 2024-Oct-25 19:01 |
| mailctl-doc-0.9.2-r0.apk | 5174 | 2024-Oct-25 19:01 |
| mailctl-bash-completion-0.9.2-r0.apk | 1829 | 2024-Oct-25 19:01 |
| mailctl-0.9.2-r0.apk | 7089104 | 2024-Oct-25 19:01 |
| makeself-2.5.0-r0.apk | 13311 | 2024-Oct-25 19:01 |
| mailctl-fish-completion-0.9.2-r0.apk | 1918 | 2024-Oct-25 19:01 |
| m2r2-0.3.3-r3.apk | 12806 | 2024-Oct-25 19:01 |
| m2r2-pyc-0.3.3-r3.apk | 15978 | 2024-Oct-25 19:01 |
| ma1sd-2.5.0-r3.apk | 39970136 | 2024-Oct-25 19:01 |
| ma1sd-openrc-2.5.0-r3.apk | 2002 | 2024-Oct-25 19:01 |
| lzfse-1.0-r0.apk | 19915 | 2024-Oct-25 19:01 |
| lzfse-dev-1.0-r0.apk | 3509 | 2024-Oct-25 19:01 |
| lua5.3-linenoise-0.9-r1.apk | 18107 | 2024-Oct-25 19:01 |
| lua5.3-lanes-3.16.0-r1.apk | 61988 | 2024-Oct-25 19:01 |
| lua5.3-editorconfig-0.3.0-r0.apk | 4668 | 2024-Oct-25 19:01 |
| lua5.2-xml-1.1.3-r2.apk | 23261 | 2024-Oct-25 19:01 |
| lua5.2-psl-0.3-r0.apk | 6444 | 2024-Oct-25 19:01 |
| lua5.2-luastatic-0.0.12-r1.apk | 9159 | 2024-Oct-25 19:01 |
| lua5.2-luacov-0.15.0-r0.apk | 23843 | 2024-Oct-25 19:01 |
| lua5.2-linenoise-0.9-r1.apk | 18147 | 2024-Oct-25 19:01 |
| lua5.2-libmodbus-0.6.1-r0.apk | 10520 | 2024-Oct-25 19:01 |
| lua5.2-lanes-3.16.0-r1.apk | 61502 | 2024-Oct-25 19:01 |
| lua5.2-editorconfig-0.3.0-r0.apk | 4622 | 2024-Oct-25 19:01 |
| lua5.1-xml-1.1.3-r2.apk | 23417 | 2024-Oct-25 19:01 |
| lua5.1-psl-0.3-r0.apk | 6563 | 2024-Oct-25 19:01 |
| lua5.1-luastatic-0.0.12-r1.apk | 79669 | 2024-Oct-25 19:01 |
| lua5.1-luacov-html-1.0.0-r1.apk | 422633 | 2024-Oct-25 19:01 |
| lua5.1-luacov-0.15.0-r0.apk | 23842 | 2024-Oct-25 19:01 |
| lua5.1-linenoise-0.9-r1.apk | 18109 | 2024-Oct-25 19:01 |
| lua5.1-libmodbus-0.6.1-r0.apk | 10544 | 2024-Oct-25 19:01 |
| lua5.1-lcurses-9.0.0-r0.apk | 25786 | 2024-Oct-25 19:01 |
| lua5.1-lanes-3.16.0-r1.apk | 61664 | 2024-Oct-25 19:01 |
| lua-xml-1.1.3-r2.apk | 1466 | 2024-Oct-25 19:01 |
| lua-resty-upload-0.11-r0.apk | 3706 | 2024-Oct-25 19:01 |
| lua-resty-redis-0.29-r0.apk | 5450 | 2024-Oct-25 19:01 |
| lua-psl-0.3-r0.apk | 1131 | 2024-Oct-25 19:01 |
| lua-lut-1.2.1-r0.apk | 91405 | 2024-Oct-25 19:01 |
| lua-lupa-1.0-r0.apk | 20042 | 2024-Oct-25 19:01 |
| lua-luastatic-0.0.12-r1.apk | 1501 | 2024-Oct-25 19:01 |
| lua-linenoise-0.9-r1.apk | 1201 | 2024-Oct-25 19:01 |
| lua-libmodbus-doc-0.6.1-r0.apk | 19562 | 2024-Oct-25 19:01 |
| lua-libmodbus-0.6.1-r0.apk | 1212 | 2024-Oct-25 19:01 |
| lua-lcurses-9.0.0-r0.apk | 1172 | 2024-Oct-25 19:01 |
| lua-lanes-3.16.0-r1.apk | 1485 | 2024-Oct-25 19:01 |
| lua-inet-0.2.0-r1.apk | 9346 | 2024-Oct-25 19:01 |
| lua-fn-0.1.0-r0.apk | 3461 | 2024-Oct-25 19:01 |
| lua-editorconfig-0.3.0-r0.apk | 1229 | 2024-Oct-25 19:01 |
| lsmash-dev-2.14.5-r2.apk | 363900 | 2024-Oct-25 19:01 |
| lsmash-2.14.5-r2.apk | 286168 | 2024-Oct-25 19:01 |
| lsix-1.8.2-r0.apk | 6668 | 2024-Oct-25 19:01 |
| lsdvd-doc-0.17-r0.apk | 2521 | 2024-Oct-25 19:01 |
| lsdvd-0.17-r0.apk | 13722 | 2024-Oct-25 19:01 |
| lrcalc-libs-2.1-r1.apk | 26189 | 2024-Oct-25 19:01 |
| lrcalc-dev-2.1-r1.apk | 11609 | 2024-Oct-25 19:01 |
| lrcalc-2.1-r1.apk | 11696 | 2024-Oct-25 19:01 |
| lowjs-doc-1.6.2-r2.apk | 3040 | 2024-Oct-25 19:01 |
| lowjs-1.6.2-r2.apk | 1400823 | 2024-Oct-25 19:01 |
| lout-doc-3.42.2-r0.apk | 463391 | 2024-Oct-25 19:01 |
| lout-3.42.2-r0.apk | 1447395 | 2024-Oct-25 19:01 |
| lotide-openrc-0.15.0-r0.apk | 3194 | 2024-Oct-25 19:01 |
| lotide-0.15.0-r0.apk | 3492495 | 2024-Oct-25 19:01 |
| lua5.2-luacov-html-1.0.0-r1.apk | 422653 | 2024-Oct-25 19:01 |
| lxappearance-lang-0.6.3-r3.apk | 82432 | 2024-Oct-25 19:01 |
| lxappearance-doc-0.6.3-r3.apk | 2676 | 2024-Oct-25 19:01 |
| lxappearance-dev-0.6.3-r3.apk | 3319 | 2024-Oct-25 19:01 |
| lxappearance-0.6.3-r3.apk | 31043 | 2024-Oct-25 19:01 |
| lwan-libs-0.1-r2.apk | 71180 | 2024-Oct-25 19:01 |
| lwan-dev-0.1-r2.apk | 10469 | 2024-Oct-25 19:01 |
| lwan-dbg-0.1-r2.apk | 405653 | 2024-Oct-25 19:01 |
| lwan-0.1-r2.apk | 72468 | 2024-Oct-25 19:01 |
| lumins-0.4.0-r2.apk | 675218 | 2024-Oct-25 19:01 |
| lumina-desktop-textedit-1.6.2-r0.apk | 195032 | 2024-Oct-25 19:01 |
| lumina-desktop-sudo-1.6.2-r0.apk | 96813 | 2024-Oct-25 19:01 |
| lumina-desktop-screenshot-1.6.2-r0.apk | 169117 | 2024-Oct-25 19:01 |
| lumina-desktop-photo-1.6.2-r0.apk | 126423 | 2024-Oct-25 19:01 |
| lumina-desktop-mediaplayer-1.6.2-r0.apk | 201749 | 2024-Oct-25 19:01 |
| lumina-desktop-fm-1.6.2-r0.apk | 396682 | 2024-Oct-25 19:01 |
| lumina-desktop-fileinfo-1.6.2-r0.apk | 161878 | 2024-Oct-25 19:01 |
| lumina-desktop-doc-1.6.2-r0.apk | 11779 | 2024-Oct-25 19:01 |
| lumina-desktop-coreutils-1.6.2-r0.apk | 833717 | 2024-Oct-25 19:01 |
| lumina-desktop-core-1.6.2-r0.apk | 9356756 | 2024-Oct-25 19:01 |
| lumina-desktop-archiver-1.6.2-r0.apk | 167629 | 2024-Oct-25 19:01 |
| lumina-desktop-1.6.2-r0.apk | 1268 | 2024-Oct-25 19:01 |
| luksmeta-doc-9-r0.apk | 5613 | 2024-Oct-25 19:01 |
| luksmeta-dev-9-r0.apk | 3171 | 2024-Oct-25 19:01 |
| luksmeta-9-r0.apk | 13534 | 2024-Oct-25 19:01 |
| luapak-0.1.0_beta5-r0.apk | 36579 | 2024-Oct-25 19:01 |
| luacov-html-1.0.0-r1.apk | 1243 | 2024-Oct-25 19:01 |
| luacov-0.15.0-r0.apk | 1484 | 2024-Oct-25 19:01 |
| lua5.4-luastatic-0.0.12-r1.apk | 9239 | 2024-Oct-25 19:01 |
| lua5.4-luacov-0.15.0-r0.apk | 23851 | 2024-Oct-25 19:01 |
| lua5.4-linenoise-0.9-r1.apk | 18131 | 2024-Oct-25 19:01 |
| lua5.4-lanes-3.16.0-r1.apk | 61716 | 2024-Oct-25 19:01 |
| lua5.4-editorconfig-0.3.0-r0.apk | 4668 | 2024-Oct-25 19:01 |
| lua5.3-psl-0.3-r0.apk | 6459 | 2024-Oct-25 19:01 |
| lua5.3-luastatic-0.0.12-r1.apk | 9171 | 2024-Oct-25 19:01 |
| lua5.3-luacov-html-1.0.0-r1.apk | 422678 | 2024-Oct-25 19:01 |
| lua5.3-luacov-0.15.0-r0.apk | 23846 | 2024-Oct-25 19:01 |
| liquibase-4.9.1-r0.apk | 33161795 | 2024-Oct-25 19:01 |
| log4cpp-dev-1.1.4-r1.apk | 39824 | 2024-Oct-25 19:01 |
| log4cpp-1.1.4-r1.apk | 72376 | 2024-Oct-25 19:01 |
| lockrun-1.1.3-r1.apk | 5512 | 2024-Oct-25 19:01 |
| llmnrd-openrc-0.7-r1.apk | 1934 | 2024-Oct-25 19:01 |
| llmnrd-doc-0.7-r1.apk | 3107 | 2024-Oct-25 19:01 |
| llmnrd-0.7-r1.apk | 16811 | 2024-Oct-25 19:01 |
| liquid-dsp-dev-1.5.0-r0.apk | 538311 | 2024-Oct-25 19:01 |
| liquid-dsp-1.5.0-r0.apk | 360991 | 2024-Oct-25 19:01 |
| liquibase-doc-4.9.1-r0.apk | 58256 | 2024-Oct-25 19:01 |
| logc-czmq-0.1.0-r0.apk | 4008 | 2024-Oct-25 19:01 |
| lol-html-dev-1.1.1-r1.apk | 6673 | 2024-Oct-25 19:01 |
| lol-html-1.1.1-r1.apk | 442701 | 2024-Oct-25 19:01 |
| logc-libs-dev-0.1.0-r0.apk | 5677 | 2024-Oct-25 19:01 |
| logc-libs-0.1.0-r0.apk | 1494 | 2024-Oct-25 19:01 |
| logc-libevent-0.1.0-r0.apk | 3394 | 2024-Oct-25 19:01 |
| lolcat-1.4-r0.apk | 9068 | 2024-Oct-25 19:01 |
| linux-apfs-rw-src-0.3.8-r0.apk | 201799 | 2024-Oct-25 19:01 |
| linphone-libs-5.3.38-r0.apk | 3061110 | 2024-Oct-25 19:01 |
| linphone-dev-5.3.38-r0.apk | 255715 | 2024-Oct-25 19:01 |
| linphone-5.3.38-r0.apk | 9453467 | 2024-Oct-25 19:01 |
| linux-timemachine-1.3.2-r0.apk | 5174 | 2024-Oct-25 19:01 |
| licenseheaders-0.8.8-r4.apk | 18317 | 2024-Oct-25 19:01 |
| libzn_poly-static-0.9.2-r2.apk | 50511 | 2024-Oct-25 19:01 |
| libzn_poly-dev-0.9.2-r2.apk | 8367 | 2024-Oct-25 19:01 |
| libzn_poly-0.9.2-r2.apk | 47277 | 2024-Oct-25 19:01 |
| libwmiclient-dev-1.3.16-r5.apk | 1736 | 2024-Oct-25 19:01 |
| libwmiclient-1.3.16-r5.apk | 1491 | 2024-Oct-25 19:01 |
| libwhich-1.2.0-r0.apk | 4421 | 2024-Oct-25 19:01 |
| libwbxml-doc-0.11.8-r0.apk | 28943 | 2024-Oct-25 19:01 |
| libwbxml-dev-0.11.8-r0.apk | 9178 | 2024-Oct-25 19:01 |
| libwbxml-0.11.8-r0.apk | 77661 | 2024-Oct-25 19:01 |
| libvoikko-doc-4.3.2-r1.apk | 5859 | 2024-Oct-25 19:01 |
| libvoikko-dev-4.3.2-r1.apk | 10148 | 2024-Oct-25 19:01 |
| libvoikko-4.3.2-r1.apk | 123965 | 2024-Oct-25 19:01 |
| limnoria-doc-20240828-r0.apk | 8600 | 2024-Oct-25 19:01 |
| limnoria-pyc-20240828-r0.apk | 1274959 | 2024-Oct-25 19:01 |
| limnoria-20240828-r0.apk | 1130322 | 2024-Oct-25 19:01 |
| limkd-doc-0.1.2-r0.apk | 3034 | 2024-Oct-25 19:01 |
| limkd-0.1.2-r0.apk | 90870 | 2024-Oct-25 19:01 |
| licenseheaders-pyc-0.8.8-r4.apk | 18853 | 2024-Oct-25 19:01 |
| libretro-pcsx-rearmed-0_git20220409-r0.apk | 553001 | 2024-Oct-25 19:01 |
| libretro-pcem-0_git20180812-r0.apk | 959135 | 2024-Oct-25 19:01 |
| libretro-parallel-n64-0_git20220406-r0.apk | 825696 | 2024-Oct-25 19:01 |
| libretro-opera-0_git20211214-r0.apk | 183210 | 2024-Oct-25 19:01 |
| libretro-openlara-0_git20210121-r0.apk | 528674 | 2024-Oct-25 19:01 |
| libretro-nxengine-0_git20220301-r0.apk | 294942 | 2024-Oct-25 19:01 |
| libvmaf-dev-3.0.0-r0.apk | 220378 | 2024-Oct-25 19:01 |
| libvmaf-3.0.0-r0.apk | 370423 | 2024-Oct-25 19:01 |
| libvisio2svg-utils-0.5.5-r3.apk | 118479 | 2024-Oct-25 19:01 |
| libvisio2svg-dev-0.5.5-r3.apk | 2992 | 2024-Oct-25 19:01 |
| libvisio2svg-0.5.5-r3.apk | 15174 | 2024-Oct-25 19:01 |
| libvdpau-va-gl-0.4.2-r0.apk | 57977 | 2024-Oct-25 19:01 |
| libupstart-2.0.3-r5.apk | 60684 | 2024-Oct-25 19:01 |
| libuninameslist-doc-20230916-r0.apk | 2094 | 2024-Oct-25 19:01 |
| libuninameslist-dev-20230916-r0.apk | 3553 | 2024-Oct-25 19:01 |
| libuninameslist-20230916-r0.apk | 385083 | 2024-Oct-25 19:01 |
| libucl-doc-0.9.0-r0.apk | 9072 | 2024-Oct-25 19:01 |
| libucl-dev-0.9.0-r0.apk | 83070 | 2024-Oct-25 19:01 |
| libucl-0.9.0-r0.apk | 57100 | 2024-Oct-25 19:01 |
| libtcmu-dev-1.6.0-r6.apk | 1550 | 2024-Oct-25 19:01 |
| libtcmu-1.6.0-r6.apk | 37186 | 2024-Oct-25 19:01 |
| libsymmetrica-static-3.0.1-r2.apk | 5792095 | 2024-Oct-25 19:01 |
| libsymmetrica-dev-3.0.1-r2.apk | 32833 | 2024-Oct-25 19:01 |
| libsymmetrica-3.0.1-r2.apk | 4338628 | 2024-Oct-25 19:01 |
| libsigrokdecode-dev-0.5.3-r4.apk | 39610 | 2024-Oct-25 19:01 |
| libsigrokdecode-0.5.3-r4.apk | 342603 | 2024-Oct-25 19:01 |
| libsigrok-dev-0.5.2-r3.apk | 31411 | 2024-Oct-25 19:01 |
| libsigrok-0.5.2-r3.apk | 484343 | 2024-Oct-25 19:01 |
| libshadowsocks-libev-3.3.5-r4.apk | 48958 | 2024-Oct-25 19:01 |
| libserialport-dev-0.1.1-r1.apk | 35418 | 2024-Oct-25 19:01 |
| libserialport-0.1.1-r1.apk | 20393 | 2024-Oct-25 19:01 |
| libsemanage-doc-3.6-r1.apk | 23466 | 2024-Oct-25 19:01 |
| libsemanage-dev-3.6-r1.apk | 143980 | 2024-Oct-25 19:01 |
| libsemanage-3.6-r1.apk | 95101 | 2024-Oct-25 19:01 |
| libsds-dev-2.0.0-r1.apk | 3869 | 2024-Oct-25 19:01 |
| libsds-2.0.0-r1.apk | 9911 | 2024-Oct-25 19:01 |
| libsbsms-dev-2.3.0-r0.apk | 122504 | 2024-Oct-25 19:01 |
| libsbsms-2.3.0-r0.apk | 104374 | 2024-Oct-25 19:01 |
| libretro-yabause-0_git20210411-r0.apk | 509911 | 2024-Oct-25 19:01 |
| libretro-xrick-0_git20220331-r0.apk | 121284 | 2024-Oct-25 19:01 |
| libretro-tyrquake-0_git20220409-r0.apk | 396512 | 2024-Oct-25 19:01 |
| libretro-theodore-3.1-r0.apk | 893610 | 2024-Oct-25 19:01 |
| libretro-snes9x-0_git20240819-r0.apk | 810655 | 2024-Oct-25 19:01 |
| libretro-scummvm-0_git20210325-r0.apk | 21138713 | 2024-Oct-25 19:01 |
| libretro-pocketcdg-0_git20220327-r0.apk | 85998 | 2024-Oct-25 19:01 |
| libretro-picodrive-0_git20220405-r0.apk | 600957 | 2024-Oct-25 19:01 |
| libretro-freeintv-0_git20220319-r0.apk | 34607 | 2024-Oct-25 19:01 |
| libretro-neocd-0_git20220325-r1.apk | 463595 | 2024-Oct-25 19:01 |
| libretro-mu-0_git20220317-r0.apk | 180139 | 2024-Oct-25 19:01 |
| libretro-mame2003-0_git20240904-r0.apk | 7093258 | 2024-Oct-25 19:01 |
| libretro-mame2000-0_git20240701-r0.apk | 2937003 | 2024-Oct-25 19:01 |
| libretro-gw-0_git20220410-r0.apk | 191720 | 2024-Oct-25 19:01 |
| libretro-gong-0_git20220319-r0.apk | 9212 | 2024-Oct-25 19:01 |
| libretro-gme-0_git20240628-r0.apk | 189933 | 2024-Oct-25 19:01 |
| libretro-genesis-plus-gx-0_git20230503-r0.apk | 723249 | 2024-Oct-25 19:01 |
| libretro-fuse-0_git20220417-r0.apk | 874758 | 2024-Oct-25 19:01 |
| libretro-frodo-0_git20221221-r0.apk | 165270 | 2024-Oct-25 19:01 |
| libretro-fbneo-0_git20220416-r0.apk | 12822803 | 2024-Oct-25 19:01 |
| libretro-dolphin-0_git20220407-r2.apk | 3303377 | 2024-Oct-25 19:01 |
| libretro-dinothawr-0_git20220401-r0.apk | 139167 | 2024-Oct-25 19:01 |
| libretro-daphne-0_git20210108-r2.apk | 598296 | 2024-Oct-25 19:01 |
| libretro-crocods-0_git20210314-r1.apk | 283718 | 2024-Oct-25 19:01 |
| libretro-cap32-0_git20220419-r0.apk | 307035 | 2024-Oct-25 19:01 |
| libretro-cannonball-0_git20220309-r6.apk | 247402 | 2024-Oct-25 19:01 |
| libretro-bluemsx-0_git20240808-r0.apk | 653905 | 2024-Oct-25 19:01 |
| libretro-blastem-0_git20210810-r0.apk | 246694 | 2024-Oct-25 19:01 |
| libretro-beetle-supergrafx-0_git20220218-r0.apk | 449402 | 2024-Oct-25 19:01 |
| libretro-beetle-saturn-0_git20220417-r0.apk | 1603145 | 2024-Oct-25 19:01 |
| libretro-beetle-pcfx-0_git20220409-r0.apk | 326113 | 2024-Oct-25 19:01 |
| libretro-beetle-pce-fast-0_git20220205-r0.apk | 445975 | 2024-Oct-25 19:01 |
| libretro-atari800-0_git20240924-r0.apk | 278316 | 2024-Oct-25 19:01 |
| libreoffice-voikko-5.0_git20200127-r0.apk | 46461 | 2024-Oct-25 19:01 |
| libqd-static-2.3.24-r0.apk | 263129 | 2024-Oct-25 19:01 |
| libqd-doc-2.3.24-r0.apk | 186843 | 2024-Oct-25 19:01 |
| libqd-dev-2.3.24-r0.apk | 59271 | 2024-Oct-25 19:01 |
| libqd-2.3.24-r0.apk | 169835 | 2024-Oct-25 19:01 |
| liboggz-doc-1.1.1-r2.apk | 137452 | 2024-Oct-25 19:01 |
| liboggz-dev-1.1.1-r2.apk | 180086 | 2024-Oct-25 19:01 |
| liboggz-1.1.1-r2.apk | 98174 | 2024-Oct-25 19:01 |
| libofx-tools-0.10.9-r1.apk | 105307 | 2024-Oct-25 19:01 |
| libofx-dev-0.10.9-r1.apk | 20058 | 2024-Oct-25 19:01 |
| libofx-0.10.9-r1.apk | 62686 | 2024-Oct-25 19:01 |
| libnxml-dev-0.18.3-r0.apk | 27349 | 2024-Oct-25 19:01 |
| libnxml-0.18.3-r0.apk | 19921 | 2024-Oct-25 19:01 |
| libnih-doc-1.0.3-r7.apk | 2772 | 2024-Oct-25 19:01 |
| libnih-dev-1.0.3-r7.apk | 115998 | 2024-Oct-25 19:01 |
| libnih-1.0.3-r7.apk | 116165 | 2024-Oct-25 19:01 |
| libneo4j-client-dev-2.2.0-r3.apk | 110978 | 2024-Oct-25 19:01 |
| libneo4j-client-2.2.0-r3.apk | 71876 | 2024-Oct-25 19:01 |
| libmysofa-tools-1.3.2-r0.apk | 1121567 | 2024-Oct-25 19:01 |
| libmysofa-dev-1.3.2-r0.apk | 7187 | 2024-Oct-25 19:01 |
| libmysofa-1.3.2-r0.apk | 25907 | 2024-Oct-25 19:01 |
| libmygpo-qt-dev-1.1.0-r2.apk | 12139 | 2024-Oct-25 19:01 |
| libmygpo-qt-1.1.0-r2.apk | 84135 | 2024-Oct-25 19:01 |
| libmustache-0.5.0-r1.apk | 82964 | 2024-Oct-25 19:01 |
| libmrss-dev-0.19.2-r1.apk | 31058 | 2024-Oct-25 19:01 |
| libmrss-0.19.2-r1.apk | 20555 | 2024-Oct-25 19:01 |
| libmpfi-static-1.5.4-r2.apk | 52349 | 2024-Oct-25 19:01 |
| libmpfi-doc-1.5.4-r2.apk | 19197 | 2024-Oct-25 19:01 |
| libmpfi-dev-1.5.4-r2.apk | 5511 | 2024-Oct-25 19:01 |
| libmpfi-1.5.4-r2.apk | 35290 | 2024-Oct-25 19:01 |
| libmhash-doc-0.9.9.9-r3.apk | 8347 | 2024-Oct-25 19:01 |
| libmhash-dev-0.9.9.9-r3.apk | 107578 | 2024-Oct-25 19:01 |
| libmhash-0.9.9.9-r3.apk | 96669 | 2024-Oct-25 19:01 |
| libiio-dev-0.25-r2.apk | 13673 | 2024-Oct-25 19:01 |
| libmdbx-dbg-0.11.8-r0.apk | 2728792 | 2024-Oct-25 19:01 |
| libmdbx-0.11.8-r0.apk | 731390 | 2024-Oct-25 19:01 |
| liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 20093 | 2024-Oct-25 19:01 |
| liblastfm-qt-1.1.10_git20190823-r3.apk | 163698 | 2024-Oct-25 19:01 |
| libjodycode-doc-3.1.1-r0.apk | 3754 | 2024-Oct-25 19:01 |
| libjodycode-dev-3.1.1-r0.apk | 4317 | 2024-Oct-25 19:01 |
| libmdf-1.0.29-r0.apk | 33428 | 2024-Oct-25 19:01 |
| libjodycode-3.1.1-r0.apk | 7455 | 2024-Oct-25 19:01 |
| libiscsi-utils-1.19.0-r2.apk | 87602 | 2024-Oct-25 19:01 |
| libiscsi-static-1.19.0-r2.apk | 73048 | 2024-Oct-25 19:01 |
| libiscsi-doc-1.19.0-r2.apk | 9503 | 2024-Oct-25 19:01 |
| libmdbx-doc-0.11.8-r0.apk | 9066 | 2024-Oct-25 19:01 |
| libiscsi-dev-1.19.0-r2.apk | 20901 | 2024-Oct-25 19:01 |
| libiscsi-1.19.0-r2.apk | 61082 | 2024-Oct-25 19:01 |
| libiml-static-1.0.5-r3.apk | 79814 | 2024-Oct-25 19:01 |
| libmdf-dev-1.0.29-r0.apk | 14155 | 2024-Oct-25 19:01 |
| libiml-dev-1.0.5-r3.apk | 4040 | 2024-Oct-25 19:01 |
| libiml-1.0.5-r3.apk | 77407 | 2024-Oct-25 19:01 |
| libiio-tools-0.25-r2.apk | 73571 | 2024-Oct-25 19:01 |
| libiio-pyc-0.25-r2.apk | 21455 | 2024-Oct-25 19:01 |
| libiio-doc-0.25-r2.apk | 18290 | 2024-Oct-25 19:01 |
| libmdbx-dev-0.11.8-r0.apk | 95430 | 2024-Oct-25 19:01 |
| libiio-0.25-r2.apk | 52420 | 2024-Oct-25 19:01 |
| libibumad-doc-1.3.10.2-r3.apk | 23759 | 2024-Oct-25 19:01 |
| libibumad-dev-1.3.10.2-r3.apk | 7760 | 2024-Oct-25 19:01 |
| libibumad-1.3.10.2-r3.apk | 15934 | 2024-Oct-25 19:01 |
| libibmad-dev-1.3.13-r2.apk | 13306 | 2024-Oct-25 19:01 |
| libibmad-1.3.13-r2.apk | 31609 | 2024-Oct-25 19:01 |
| libhwpwm-doc-0.4.4-r0.apk | 13359 | 2024-Oct-25 19:01 |
| libhwpwm-dev-0.4.4-r0.apk | 5966 | 2024-Oct-25 19:01 |
| libhwpwm-0.4.4-r0.apk | 5568 | 2024-Oct-25 19:01 |
| libhomfly-dev-1.02_p6-r1.apk | 19676 | 2024-Oct-25 19:01 |
| libhomfly-1.02_p6-r1.apk | 15913 | 2024-Oct-25 19:01 |
| libgivaro-static-4.2.0-r2.apk | 92459 | 2024-Oct-25 19:01 |
| libgivaro-dev-4.2.0-r2.apk | 250310 | 2024-Oct-25 19:01 |
| libgivaro-4.2.0-r2.apk | 76316 | 2024-Oct-25 19:01 |
| libfort-dev-0.4.2-r0.apk | 17849 | 2024-Oct-25 19:01 |
| libfort-0.4.2-r0.apk | 32122 | 2024-Oct-25 19:01 |
| libfoma-0.10.0_git20240712-r0.apk | 106538 | 2024-Oct-25 19:01 |
| libfishsound-doc-1.0.0-r1.apk | 77128 | 2024-Oct-25 19:01 |
| libfishsound-dev-1.0.0-r1.apk | 63887 | 2024-Oct-25 19:01 |
| libfishsound-1.0.0-r1.apk | 9789 | 2024-Oct-25 19:01 |
| libettercap-0.8.3.1-r3.apk | 199985 | 2024-Oct-25 19:01 |
| liberasurecode-dev-1.6.3-r1.apk | 18708 | 2024-Oct-25 19:01 |
| liberasurecode-1.6.3-r1.apk | 41884 | 2024-Oct-25 19:01 |
| libecap-static-1.0.1-r1.apk | 16844 | 2024-Oct-25 19:01 |
| libecap-dev-1.0.1-r1.apk | 11700 | 2024-Oct-25 19:01 |
| libecap-1.0.1-r1.apk | 13535 | 2024-Oct-25 19:01 |
| libcyaml-static-1.4.2-r0.apk | 25094 | 2024-Oct-25 19:01 |
| libcyaml-doc-1.4.2-r0.apk | 8853 | 2024-Oct-25 19:01 |
| libcyaml-dev-1.4.2-r0.apk | 13165 | 2024-Oct-25 19:01 |
| libcyaml-1.4.2-r0.apk | 20611 | 2024-Oct-25 19:01 |
| libctl-doc-4.5.1-r1.apk | 3090 | 2024-Oct-25 19:01 |
| libctl-dev-4.5.1-r1.apk | 39482 | 2024-Oct-25 19:01 |
| libctl-4.5.1-r1.apk | 99115 | 2024-Oct-25 19:01 |
| libcorkipset-tools-1.1.1-r4.apk | 11676 | 2024-Oct-25 19:01 |
| libcorkipset-dev-1.1.1-r4.apk | 8290 | 2024-Oct-25 19:01 |
| libcorkipset-1.1.1-r4.apk | 13224 | 2024-Oct-25 19:01 |
| libcork-tools-0.15.0-r7.apk | 4466 | 2024-Oct-25 19:01 |
| libcork-dev-0.15.0-r7.apk | 30703 | 2024-Oct-25 19:01 |
| libcork-0.15.0-r7.apk | 33917 | 2024-Oct-25 19:01 |
| libcli-1.10.7-r0.apk | 30401 | 2024-Oct-25 19:01 |
| libbsoncxx-dev-3.8.0-r0.apk | 39610 | 2024-Oct-25 19:01 |
| libbsoncxx-3.8.0-r0.apk | 44331 | 2024-Oct-25 19:01 |
| libbloom-dev-2.0-r0.apk | 3567 | 2024-Oct-25 19:01 |
| libbloom-2.0-r0.apk | 5657 | 2024-Oct-25 19:01 |
| libblastrampoline-dev-5.2.0-r0.apk | 98386 | 2024-Oct-25 19:01 |
| libblastrampoline-5.2.0-r0.apk | 337849 | 2024-Oct-25 19:01 |
| libbamf-doc-0.5.6-r1.apk | 31828 | 2024-Oct-25 19:01 |
| libbamf-dev-0.5.6-r1.apk | 6572 | 2024-Oct-25 19:01 |
| libbamf-0.5.6-r1.apk | 152920 | 2024-Oct-25 19:01 |
| libb64-doc-2.0.0.1-r0.apk | 8230 | 2024-Oct-25 19:01 |
| libb64-dev-2.0.0.1-r0.apk | 5846 | 2024-Oct-25 19:01 |
| libb64-2.0.0.1-r0.apk | 4479 | 2024-Oct-25 19:01 |
| libaudec-tools-0.3.4-r3.apk | 28340 | 2024-Oct-25 19:01 |
| libaudec-static-0.3.4-r3.apk | 31306 | 2024-Oct-25 19:01 |
| libaudec-dev-0.3.4-r3.apk | 4385 | 2024-Oct-25 19:01 |
| libaudec-0.3.4-r3.apk | 28123 | 2024-Oct-25 19:01 |
| libantlr3c-dev-3.4-r3.apk | 59777 | 2024-Oct-25 19:01 |
| libantlr3c-3.4-r3.apk | 51337 | 2024-Oct-25 19:01 |
| lfm-doc-3.1-r4.apk | 2831 | 2024-Oct-25 19:01 |
| lfm-3.1-r4.apk | 90241 | 2024-Oct-25 19:01 |
| lfm-pyc-3.1-r4.apk | 136926 | 2024-Oct-25 19:01 |
| levmar-dev-2.6-r0.apk | 48605 | 2024-Oct-25 19:01 |
| lem-2.2.0-r0.apk | 25103083 | 2024-Oct-25 19:01 |
| lem-gui-2.2.0-r0.apk | 27414718 | 2024-Oct-25 19:01 |
| lem-common-2.2.0-r0.apk | 11418 | 2024-Oct-25 19:01 |
| ledmon-doc-1.0.0-r0.apk | 14415 | 2024-Oct-25 19:01 |
| ledmon-1.0.0-r0.apk | 80747 | 2024-Oct-25 19:01 |
| kubesplit-pyc-0.3.3-r1.apk | 13271 | 2024-Oct-25 19:01 |
| kubesplit-0.3.3-r1.apk | 12975 | 2024-Oct-25 19:01 |
| krita-plugin-gmic-3.2.4.1-r3.apk | 2887139 | 2024-Oct-25 19:01 |
| kodi-game-libretro-mgba-0.11.0.44-r0.apk | 19909 | 2024-Oct-25 19:01 |
| kondo-zsh-completion-0.8-r0.apk | 2517 | 2024-Oct-25 19:01 |
| kondo-fish-completion-0.8-r0.apk | 2149 | 2024-Oct-25 19:01 |
| kondo-bash-completion-0.8-r0.apk | 2150 | 2024-Oct-25 19:01 |
| kondo-0.8-r0.apk | 708155 | 2024-Oct-25 19:01 |
| kodi-vfs-sftp-20.2.0-r1.apk | 54503 | 2024-Oct-25 19:01 |
| kodi-vfs-sacd-20.1.0-r1.apk | 95602 | 2024-Oct-25 19:01 |
| kodi-vfs-rar-20.1.0-r1.apk | 410332 | 2024-Oct-25 19:01 |
| kodi-peripheral-joystick-20.1.9-r0.apk | 220427 | 2024-Oct-25 19:01 |
| kodi-game-libretro-theodore-0.0.1.32-r0.apk | 16435 | 2024-Oct-25 19:01 |
| kodi-game-libretro-snes9x-1.62.3.45-r0.apk | 26614 | 2024-Oct-25 19:01 |
| kodi-game-libretro-nestopia-1.52.0.41-r0.apk | 23284 | 2024-Oct-25 19:01 |
| kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk | 130525 | 2024-Oct-25 19:01 |
| kodi-game-libretro-mame2003-0.78.0.54-r0.apk | 1394389 | 2024-Oct-25 19:01 |
| kodi-game-libretro-mame2000-0.37.0.32-r0.apk | 334247 | 2024-Oct-25 19:01 |
| kodi-game-libretro-frodo-0.0.1.25-r0.apk | 164303 | 2024-Oct-25 19:01 |
| kodi-game-libretro-fbneo-1.0.0.71-r0.apk | 184376 | 2024-Oct-25 19:01 |
| kodi-game-libretro-dosbox-0.74.0.25-r0.apk | 75566 | 2024-Oct-25 19:01 |
| kodi-game-libretro-desmume-0.0.1.28-r0.apk | 65125 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk | 17925 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk | 16829 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-supergrafx-1.29.0.39-r..> | 20348 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk | 20196 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk | 65574 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk | 55125 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0...> | 21435 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk | 17147 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk | 15155 | 2024-Oct-25 19:01 |
| kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk | 15813 | 2024-Oct-25 19:01 |
| kodi-game-libretro-atari800-3.1.0.28-r0.apk | 246511 | 2024-Oct-25 19:01 |
| kodi-game-libretro-20.1.0-r0.apk | 119302 | 2024-Oct-25 19:01 |
| kodi-audioencoder-wav-20.2.0-r1.apk | 27479 | 2024-Oct-25 19:01 |
| kodi-audioencoder-vorbis-20.2.0-r1.apk | 35455 | 2024-Oct-25 19:01 |
| kodi-audioencoder-lame-20.3.0-r1.apk | 94147 | 2024-Oct-25 19:01 |
| kodi-audioencoder-flac-20.2.0-r1.apk | 44010 | 2024-Oct-25 19:01 |
| keystone-python-0.9.2-r6.apk | 1626979 | 2024-Oct-25 19:01 |
| klevernotes-lang-1.1.0-r0.apk | 145475 | 2024-Oct-25 19:01 |
| klevernotes-1.1.0-r0.apk | 2454563 | 2024-Oct-25 19:01 |
| kjv-0_git20221103-r0.apk | 1615557 | 2024-Oct-25 19:01 |
| khronos-lang-4.0.1-r0.apk | 26344 | 2024-Oct-25 19:01 |
| klfc-1.5.7-r0.apk | 4404563 | 2024-Oct-25 19:01 |
| khronos-4.0.1-r0.apk | 54634 | 2024-Oct-25 19:01 |
| kfc-0.1.4-r0.apk | 58971 | 2024-Oct-25 19:01 |
| keystone-python-pyc-0.9.2-r6.apk | 9907 | 2024-Oct-25 19:01 |
| klfc-doc-1.5.7-r0.apk | 410039 | 2024-Oct-25 19:01 |
| keydb-6.3.4-r0.apk | 1105994 | 2024-Oct-25 19:01 |
| keystone-dev-0.9.2-r6.apk | 7484 | 2024-Oct-25 19:01 |
| keystone-0.9.2-r6.apk | 1400135 | 2024-Oct-25 19:01 |
| keydb-openrc-6.3.4-r0.apk | 2677 | 2024-Oct-25 19:01 |
| keydb-cli-6.3.4-r0.apk | 375765 | 2024-Oct-25 19:01 |
| keydb-benchmark-6.3.4-r0.apk | 380437 | 2024-Oct-25 19:01 |
| kannel-doc-1.5.0-r11.apk | 6348 | 2024-Oct-25 19:01 |
| kannel-dev-1.5.0-r11.apk | 941004 | 2024-Oct-25 19:01 |
| kannel-1.5.0-r11.apk | 6544454 | 2024-Oct-25 19:01 |
| jrsonnet-cli-0.4.2-r1.apk | 573250 | 2024-Oct-25 19:01 |
| kabmat-2.7.0-r0.apk | 58247 | 2024-Oct-25 19:01 |
| k2-0_git20220807-r1.apk | 99287 | 2024-Oct-25 19:01 |
| junit2html-pyc-31.0.2-r0.apk | 24187 | 2024-Oct-25 19:01 |
| junit2html-31.0.2-r0.apk | 17135 | 2024-Oct-25 19:01 |
| json2tsv-jaq-doc-1.2-r0.apk | 2389 | 2024-Oct-25 19:01 |
| json2tsv-jaq-1.2-r0.apk | 1951 | 2024-Oct-25 19:01 |
| json2tsv-doc-1.2-r0.apk | 5369 | 2024-Oct-25 19:01 |
| json2tsv-1.2-r0.apk | 6574 | 2024-Oct-25 19:01 |
| jsmn-1.1.0-r2.apk | 4836 | 2024-Oct-25 19:01 |
| kabmat-doc-2.7.0-r0.apk | 3622 | 2024-Oct-25 19:01 |
| jhead-3.08-r0.apk | 32098 | 2024-Oct-25 19:01 |
| jhead-doc-3.08-r0.apk | 8092 | 2024-Oct-25 19:01 |
| jdupes-doc-1.28.0-r0.apk | 9208 | 2024-Oct-25 19:01 |
| jdupes-1.28.0-r0.apk | 27851 | 2024-Oct-25 19:01 |
| jdebp-redo-doc-1.4-r1.apk | 12411 | 2024-Oct-25 19:01 |
| jdebp-redo-1.4-r1.apk | 95558 | 2024-Oct-25 19:01 |
| jbigkit-doc-2.1-r2.apk | 7514 | 2024-Oct-25 19:01 |
| jbigkit-dev-2.1-r2.apk | 30998 | 2024-Oct-25 19:01 |
| jbigkit-2.1-r2.apk | 68839 | 2024-Oct-25 19:01 |
| java-jtharness-examples-6.0_p12-r0.apk | 224130 | 2024-Oct-25 19:01 |
| java-jtharness-doc-6.0_p12-r0.apk | 11841 | 2024-Oct-25 19:01 |
| java-jtharness-6.0_p12-r0.apk | 4232082 | 2024-Oct-25 19:01 |
| java-asmtools-doc-8.0.09-r0.apk | 7072 | 2024-Oct-25 19:01 |
| java-asmtools-8.0.09-r0.apk | 587883 | 2024-Oct-25 19:01 |
| jalv-gtk-1.6.8-r1.apk | 32338 | 2024-Oct-25 19:01 |
| jalv-doc-1.6.8-r1.apk | 3258 | 2024-Oct-25 19:01 |
| jalv-1.6.8-r1.apk | 48944 | 2024-Oct-25 19:01 |
| icestorm-0_git20240517-r0.apk | 17320207 | 2024-Oct-25 19:01 |
| it87-src-1_p20240609-r0.apk | 30407 | 2024-Oct-25 19:01 |
| isoinfo-0_git20131217-r1.apk | 6677 | 2024-Oct-25 19:01 |
| irccd-openrc-4.0.3-r0.apk | 1867 | 2024-Oct-25 19:01 |
| irccd-doc-4.0.3-r0.apk | 82302 | 2024-Oct-25 19:01 |
| irccd-dev-4.0.3-r0.apk | 9855 | 2024-Oct-25 19:01 |
| irccd-4.0.3-r0.apk | 264903 | 2024-Oct-25 19:01 |
| iprange-doc-1.0.4-r1.apk | 4642 | 2024-Oct-25 19:01 |
| iprange-1.0.4-r1.apk | 20342 | 2024-Oct-25 19:01 |
| ip2location-doc-8.6.1-r0.apk | 2775 | 2024-Oct-25 19:01 |
| ip2location-dev-8.6.1-r0.apk | 12217 | 2024-Oct-25 19:01 |
| ip2location-8.6.1-r0.apk | 25874 | 2024-Oct-25 19:01 |
| innernet-zsh-completion-1.6.1-r0.apk | 5583 | 2024-Oct-25 19:01 |
| innernet-openrc-1.6.1-r0.apk | 2355 | 2024-Oct-25 19:01 |
| innernet-fish-completion-1.6.1-r0.apk | 4644 | 2024-Oct-25 19:01 |
| innernet-doc-1.6.1-r0.apk | 9253 | 2024-Oct-25 19:01 |
| innernet-bash-completion-1.6.1-r0.apk | 3919 | 2024-Oct-25 19:01 |
| innernet-1.6.1-r0.apk | 2833949 | 2024-Oct-25 19:01 |
| initify-0_git20171210-r1.apk | 3362 | 2024-Oct-25 19:01 |
| imrsh-dbg-0_git20210320-r1.apk | 19809 | 2024-Oct-25 19:01 |
| imrsh-0_git20210320-r1.apk | 8976 | 2024-Oct-25 19:01 |
| imediff-pyc-2.6-r1.apk | 44707 | 2024-Oct-25 19:01 |
| imediff-doc-2.6-r1.apk | 6686 | 2024-Oct-25 19:01 |
| imediff-2.6-r1.apk | 42583 | 2024-Oct-25 19:01 |
| imapfilter-doc-2.8.2-r0.apk | 13139 | 2024-Oct-25 19:01 |
| imapfilter-2.8.2-r0.apk | 41814 | 2024-Oct-25 19:01 |
| igrep-doc-1.2.0-r0.apk | 4260 | 2024-Oct-25 19:01 |
| igrep-1.2.0-r0.apk | 1675671 | 2024-Oct-25 19:01 |
| idesk-1-r1.apk | 71515 | 2024-Oct-25 19:01 |
| icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1605 | 2024-Oct-25 19:01 |
| icingaweb2-module-pnp-1.1.0-r1.apk | 9251 | 2024-Oct-25 19:01 |
| icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1864 | 2024-Oct-25 19:01 |
| icingaweb2-module-generictts-2.1.0-r0.apk | 6759 | 2024-Oct-25 19:01 |
| icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 236388 | 2024-Oct-25 19:01 |
| icingaweb2-module-fileshipper-1.2.0-r3.apk | 11075 | 2024-Oct-25 19:01 |
| jack_capture-0.9.73_git20210429-r2.apk | 32211 | 2024-Oct-25 19:01 |
| hypnotix-3.5-r0.apk | 112695 | 2024-Oct-25 19:01 |
| icesprog-udev-0_git20240108-r1.apk | 1940 | 2024-Oct-25 19:01 |
| icesprog-0_git20240108-r1.apk | 8989 | 2024-Oct-25 19:01 |
| i2util-doc-4.2.1-r1.apk | 4825 | 2024-Oct-25 19:01 |
| i2util-dev-4.2.1-r1.apk | 45559 | 2024-Oct-25 19:01 |
| i2util-4.2.1-r1.apk | 20933 | 2024-Oct-25 19:01 |
| hyx-doc-2024.02.29-r0.apk | 2295 | 2024-Oct-25 19:01 |
| hyx-2024.02.29-r0.apk | 17260 | 2024-Oct-25 19:01 |
| hypnotix-lang-3.5-r0.apk | 74149 | 2024-Oct-25 19:01 |
| hx-doc-1.0.15-r0.apk | 4902 | 2024-Oct-25 19:01 |
| hx-1.0.15-r0.apk | 14806 | 2024-Oct-25 19:01 |
| hwatch-zsh-completion-0.3.11-r0.apk | 1975 | 2024-Oct-25 19:01 |
| hwatch-fish-completion-0.3.11-r0.apk | 1862 | 2024-Oct-25 19:01 |
| hwatch-doc-0.3.11-r0.apk | 3118 | 2024-Oct-25 19:01 |
| hwatch-0.3.11-r0.apk | 1029966 | 2024-Oct-25 19:01 |
| hunspell-ca-es-3.0.7-r0.apk | 748802 | 2024-Oct-25 19:01 |
| httrack-doc-3.49.2-r5.apk | 540626 | 2024-Oct-25 19:01 |
| httrack-3.49.2-r5.apk | 759612 | 2024-Oct-25 19:01 |
| httpie-oauth-pyc-1.0.2-r9.apk | 2365 | 2024-Oct-25 19:01 |
| httpie-oauth-1.0.2-r9.apk | 3444 | 2024-Oct-25 19:01 |
| htslib-tools-1.19-r0.apk | 1345423 | 2024-Oct-25 19:01 |
| htslib-static-1.19-r0.apk | 506112 | 2024-Oct-25 19:01 |
| htslib-doc-1.19-r0.apk | 23202 | 2024-Oct-25 19:01 |
| htslib-dev-1.19-r0.apk | 118090 | 2024-Oct-25 19:01 |
| htslib-1.19-r0.apk | 403144 | 2024-Oct-25 19:01 |
| htmlcxx-dev-0.87-r1.apk | 21115 | 2024-Oct-25 19:01 |
| htmlcxx-0.87-r1.apk | 64053 | 2024-Oct-25 19:01 |
| hstdb-2.1.0-r2.apk | 898930 | 2024-Oct-25 19:01 |
| hsetroot-1.0.5-r1.apk | 10874 | 2024-Oct-25 19:01 |
| hping3-doc-20051105-r4.apk | 17285 | 2024-Oct-25 19:01 |
| hping3-20051105-r4.apk | 74035 | 2024-Oct-25 19:01 |
| horizon-doc-0.9.6-r9.apk | 21964 | 2024-Oct-25 19:01 |
| horizon-tools-0.9.6-r9.apk | 81113 | 2024-Oct-25 19:01 |
| horizon-0.9.6-r9.apk | 203431 | 2024-Oct-25 19:01 |
| hitide-openrc-0.15.0-r0.apk | 2191 | 2024-Oct-25 19:01 |
| horizon-image-0.9.6-r9.apk | 67138 | 2024-Oct-25 19:01 |
| hitide-0.15.0-r0.apk | 1952419 | 2024-Oct-25 19:01 |
| himitsu-keyring-0.2.0-r0.apk | 13670 | 2024-Oct-25 19:01 |
| hidrd-dev-0.2.0_git20190603-r1.apk | 129349 | 2024-Oct-25 19:01 |
| hidrd-0.2.0_git20190603-r1.apk | 76227 | 2024-Oct-25 19:01 |
| hexedit-doc-1.6_git20230905-r0.apk | 5728 | 2024-Oct-25 19:01 |
| hexedit-1.6_git20230905-r0.apk | 16787 | 2024-Oct-25 19:01 |
| hex-0.6.0-r0.apk | 299537 | 2024-Oct-25 19:01 |
| herbe-1.0.0-r0.apk | 5953 | 2024-Oct-25 19:01 |
| helvum-0.5.1-r0.apk | 320045 | 2024-Oct-25 19:01 |
| horizon-dev-0.9.6-r9.apk | 4998 | 2024-Oct-25 19:01 |
| horizon-dbg-0.9.6-r9.apk | 4196325 | 2024-Oct-25 19:01 |
| heh-0.6.1-r0.apk | 482971 | 2024-Oct-25 19:01 |
| heh-doc-0.6.1-r0.apk | 4167 | 2024-Oct-25 19:01 |
| hdf4-tools-4.2.15-r2.apk | 190783 | 2024-Oct-25 19:01 |
| hdf4-doc-4.2.15-r2.apk | 6153 | 2024-Oct-25 19:01 |
| hdf4-dev-4.2.15-r2.apk | 103302 | 2024-Oct-25 19:01 |
| hdf4-4.2.15-r2.apk | 260405 | 2024-Oct-25 19:01 |
| hddfancontrol-pyc-1.6.2-r0.apk | 34847 | 2024-Oct-25 19:01 |
| hddfancontrol-openrc-1.6.2-r0.apk | 2239 | 2024-Oct-25 19:01 |
| hddfancontrol-1.6.2-r0.apk | 33619 | 2024-Oct-25 19:01 |
| hatop-doc-0.8.2-r0.apk | 3064 | 2024-Oct-25 19:01 |
| hatop-0.8.2-r0.apk | 18388 | 2024-Oct-25 19:01 |
| haskell-language-server-2.9.0.0-r0.apk | 71229863 | 2024-Oct-25 19:01 |
| hashcat-doc-6.2.6-r0.apk | 2253587 | 2024-Oct-25 19:01 |
| hashcat-6.2.6-r0.apk | 64320952 | 2024-Oct-25 19:01 |
| h4h5tools-doc-2.2.5-r4.apk | 2793 | 2024-Oct-25 19:01 |
| harminv-libs-1.4.2-r1.apk | 14447 | 2024-Oct-25 19:01 |
| harminv-doc-1.4.2-r1.apk | 5797 | 2024-Oct-25 19:01 |
| harminv-dev-1.4.2-r1.apk | 3218 | 2024-Oct-25 19:01 |
| harminv-1.4.2-r1.apk | 7745 | 2024-Oct-25 19:01 |
| hardened-malloc-13-r0.apk | 34786 | 2024-Oct-25 19:01 |
| handlebars-utils-1.0.0-r1.apk | 10405 | 2024-Oct-25 19:01 |
| handlebars-dev-1.0.0-r1.apk | 33167 | 2024-Oct-25 19:01 |
| handlebars-1.0.0-r1.apk | 108222 | 2024-Oct-25 19:01 |
| hamster-time-tracker-pyc-3.0.3-r2.apk | 366727 | 2024-Oct-25 19:01 |
| hamster-time-tracker-lang-3.0.3-r2.apk | 210638 | 2024-Oct-25 19:01 |
| hamster-time-tracker-doc-3.0.3-r2.apk | 118986 | 2024-Oct-25 19:01 |
| hamster-time-tracker-bash-completion-3.0.3-r2.apk | 2020 | 2024-Oct-25 19:01 |
| hamster-time-tracker-3.0.3-r2.apk | 159573 | 2024-Oct-25 19:01 |
| halp-zsh-completion-0.2.0-r0.apk | 2463 | 2024-Oct-25 19:01 |
| halp-fish-completion-0.2.0-r0.apk | 2002 | 2024-Oct-25 19:01 |
| halp-doc-0.2.0-r0.apk | 7044 | 2024-Oct-25 19:01 |
| halp-bash-completion-0.2.0-r0.apk | 2228 | 2024-Oct-25 19:01 |
| halp-0.2.0-r0.apk | 1126238 | 2024-Oct-25 19:01 |
| habitctl-0.1.0-r2.apk | 331575 | 2024-Oct-25 19:01 |
| h4h5tools-static-2.2.5-r4.apk | 109591 | 2024-Oct-25 19:01 |
| h4h5tools-dev-2.2.5-r4.apk | 8966 | 2024-Oct-25 19:01 |
| h4h5tools-2.2.5-r4.apk | 110997 | 2024-Oct-25 19:01 |
| guake-lang-3.10-r1.apk | 192305 | 2024-Oct-25 19:01 |
| gtkwave-doc-3.3.120-r0.apk | 27771 | 2024-Oct-25 19:01 |
| gtkwave-3.3.120-r0.apk | 2650437 | 2024-Oct-25 19:01 |
| gtkhash-lang-1.5-r0.apk | 47745 | 2024-Oct-25 19:01 |
| guake-pyc-3.10-r1.apk | 190380 | 2024-Oct-25 19:01 |
| gtkhash-1.5-r0.apk | 89519 | 2024-Oct-25 19:01 |
| grpc-health-check-0.1.1-r3.apk | 1045331 | 2024-Oct-25 19:01 |
| guake-3.10-r1.apk | 312649 | 2024-Oct-25 19:01 |
| granite7-7.5.0-r0.apk | 121907 | 2024-Oct-25 19:01 |
| greetd-mini-wl-greeter-0_git20230821-r0.apk | 19182 | 2024-Oct-25 19:01 |
| grip-lang-4.2.4-r0.apk | 147889 | 2024-Oct-25 19:01 |
| grip-doc-4.2.4-r0.apk | 6324 | 2024-Oct-25 19:01 |
| grip-4.2.4-r0.apk | 390494 | 2024-Oct-25 19:01 |
| greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3358 | 2024-Oct-25 19:01 |
| greetd-mini-wl-greeter-bash-completion-0_git2023..> | 2249 | 2024-Oct-25 19:01 |
| granite7-lang-7.5.0-r0.apk | 53222 | 2024-Oct-25 19:01 |
| granite7-dev-7.5.0-r0.apk | 42015 | 2024-Oct-25 19:01 |
| goxel-0.15.1-r0.apk | 1821753 | 2024-Oct-25 19:01 |
| goomwwm-1.0.0-r5.apk | 47560 | 2024-Oct-25 19:01 |
| gnu-apl-doc-1.9-r0.apk | 1632310 | 2024-Oct-25 19:01 |
| gnu-apl-dev-1.9-r0.apk | 602846 | 2024-Oct-25 19:01 |
| gnome-metronome-lang-1.3.0-r0.apk | 25276 | 2024-Oct-25 19:01 |
| gnome-metronome-1.3.0-r0.apk | 468854 | 2024-Oct-25 19:01 |
| gnome-common-3.18.0-r3.apk | 11808 | 2024-Oct-25 19:01 |
| gnu-apl-1.9-r0.apk | 1273277 | 2024-Oct-25 19:01 |
| gobang-0.1.0_alpha5-r1.apk | 1982771 | 2024-Oct-25 19:01 |
| gloox-dev-1.0.28-r0.apk | 898693 | 2024-Oct-25 19:01 |
| ginger-lang-2.4.0-r7.apk | 128457 | 2024-Oct-25 19:01 |
| gloox-1.0.28-r0.apk | 372962 | 2024-Oct-25 19:01 |
| glmark2-doc-2023.01-r1.apk | 13114 | 2024-Oct-25 19:01 |
| glmark2-2023.01-r1.apk | 8318357 | 2024-Oct-25 19:01 |
| glfw-wayland-dev-3.3.8-r3.apk | 46712 | 2024-Oct-25 19:01 |
| glfw-wayland-dbg-3.3.8-r3.apk | 200082 | 2024-Oct-25 19:01 |
| glfw-wayland-3.3.8-r3.apk | 62488 | 2024-Oct-25 19:01 |
| gitoxide-0.14.0-r1.apk | 2801620 | 2024-Oct-25 19:01 |
| git2json-pyc-0.2.3-r8.apk | 5827 | 2024-Oct-25 19:01 |
| git2json-0.2.3-r8.apk | 7596 | 2024-Oct-25 19:01 |
| git-secret-doc-0.5.0-r0.apk | 17477 | 2024-Oct-25 19:01 |
| git-secret-0.5.0-r0.apk | 15110 | 2024-Oct-25 19:01 |
| git-revise-pyc-0.7.0-r5.apk | 43203 | 2024-Oct-25 19:01 |
| git-revise-doc-0.7.0-r5.apk | 5078 | 2024-Oct-25 19:01 |
| git-revise-0.7.0-r5.apk | 24886 | 2024-Oct-25 19:01 |
| gingerbase-pyc-2.3.0-r7.apk | 62951 | 2024-Oct-25 19:01 |
| gingerbase-lang-2.3.0-r7.apk | 54132 | 2024-Oct-25 19:01 |
| gingerbase-2.3.0-r7.apk | 199911 | 2024-Oct-25 19:01 |
| ginger-pyc-2.4.0-r7.apk | 211801 | 2024-Oct-25 19:01 |
| ginger-2.4.0-r7.apk | 263236 | 2024-Oct-25 19:01 |
| geonames-lang-0.3.1-r2.apk | 4801102 | 2024-Oct-25 19:01 |
| gf2x-dev-1.3.0-r1.apk | 69802 | 2024-Oct-25 19:01 |
| gf2x-1.3.0-r1.apk | 40874 | 2024-Oct-25 19:01 |
| ghc-filesystem-1.5.14-r0.apk | 39541 | 2024-Oct-25 19:01 |
| getssl-2.48-r0.apk | 84162 | 2024-Oct-25 19:01 |
| gfan-0.6.2-r1.apk | 1655371 | 2024-Oct-25 19:01 |
| geomyidae-0.34-r2.apk | 15444 | 2024-Oct-25 19:01 |
| genact-1.4.2-r0.apk | 1416252 | 2024-Oct-25 19:01 |
| gaupol-pyc-1.12-r2.apk | 429305 | 2024-Oct-25 19:01 |
| gaupol-lang-1.12-r2.apk | 283323 | 2024-Oct-25 19:01 |
| gaupol-doc-1.12-r2.apk | 2426 | 2024-Oct-25 19:01 |
| gaupol-1.12-r2.apk | 282798 | 2024-Oct-25 19:01 |
| gatling-openrc-0.16-r6.apk | 2853 | 2024-Oct-25 19:01 |
| gatling-doc-0.16-r6.apk | 9294 | 2024-Oct-25 19:01 |
| gatling-0.16-r6.apk | 145831 | 2024-Oct-25 19:01 |
| gammastep-pyc-2.0.9-r3.apk | 17281 | 2024-Oct-25 19:01 |
| gammastep-lang-2.0.9-r3.apk | 79396 | 2024-Oct-25 19:01 |
| gammastep-doc-2.0.9-r3.apk | 14400 | 2024-Oct-25 19:01 |
| gammastep-2.0.9-r3.apk | 90782 | 2024-Oct-25 19:01 |
| geonames-doc-0.3.1-r2.apk | 12900 | 2024-Oct-25 19:01 |
| geonames-dev-0.3.1-r2.apk | 3091 | 2024-Oct-25 19:01 |
| geonames-0.3.1-r2.apk | 846540 | 2024-Oct-25 19:01 |
| geomyidae-openrc-0.34-r2.apk | 2038 | 2024-Oct-25 19:01 |
| geomyidae-doc-0.34-r2.apk | 7834 | 2024-Oct-25 19:01 |
| frescobaldi-3.3.0-r1.apk | 3645971 | 2024-Oct-25 19:01 |
| fxfloorboard-katana-mk2-doc-20240515-r1.apk | 1138483 | 2024-Oct-25 19:01 |
| fxfloorboard-katana-mk2-20240515-r1.apk | 5812749 | 2024-Oct-25 19:01 |
| fusesoc-pyc-2.3-r0.apk | 91405 | 2024-Oct-25 19:01 |
| fusesoc-2.3-r0.apk | 47420 | 2024-Oct-25 19:01 |
| fusee-nano-udev-0.5.3-r1.apk | 1744 | 2024-Oct-25 19:01 |
| fusee-nano-0.5.3-r1.apk | 21376 | 2024-Oct-25 19:01 |
| fulcrum-doc-1.9.8-r1.apk | 22130 | 2024-Oct-25 19:01 |
| fulcrum-admin-1.9.8-r1.apk | 8104 | 2024-Oct-25 19:01 |
| fulcrum-1.9.8-r1.apk | 959404 | 2024-Oct-25 19:01 |
| frescobaldi-pyc-3.3.0-r1.apk | 1253334 | 2024-Oct-25 19:01 |
| frescobaldi-doc-3.3.0-r1.apk | 2540 | 2024-Oct-25 19:01 |
| freediameter-libfdproto-1.5.0-r1.apk | 95765 | 2024-Oct-25 19:01 |
| freediameter-libfdcore-1.5.0-r1.apk | 180726 | 2024-Oct-25 19:01 |
| freediameter-extensions-1.5.0-r1.apk | 400798 | 2024-Oct-25 19:01 |
| freediameter-dev-1.5.0-r1.apk | 55078 | 2024-Oct-25 19:01 |
| freediameter-1.5.0-r1.apk | 9766 | 2024-Oct-25 19:01 |
| freealut-dev-1.1.0-r1.apk | 23717 | 2024-Oct-25 19:01 |
| freealut-1.1.0-r1.apk | 18477 | 2024-Oct-25 19:01 |
| fpp-doc-0.9.5-r0.apk | 5728 | 2024-Oct-25 19:01 |
| fpp-0.9.5-r0.apk | 29974 | 2024-Oct-25 19:01 |
| fpc-stage0-3.2.2-r3.apk | 6583550 | 2024-Oct-25 19:01 |
| fpc-doc-3.2.2-r4.apk | 1301575 | 2024-Oct-25 19:01 |
| fpc-3.2.2-r4.apk | 74484697 | 2024-Oct-25 19:01 |
| foolsm-openrc-1.0.21-r0.apk | 1587 | 2024-Oct-25 19:01 |
| foolsm-doc-1.0.21-r0.apk | 3947 | 2024-Oct-25 19:01 |
| foolsm-1.0.21-r0.apk | 33699 | 2024-Oct-25 19:01 |
| font-tiresias-doc-0_git20200704-r0.apk | 59545 | 2024-Oct-25 19:01 |
| font-tiresias-0_git20200704-r0.apk | 581903 | 2024-Oct-25 19:01 |
| font-tinos-0_git20210228-r0.apk | 203453 | 2024-Oct-25 19:01 |
| font-tamzen-1.11.5-r1.apk | 63349 | 2024-Oct-25 19:01 |
| font-stix-ttf-2.13-r0.apk | 440382 | 2024-Oct-25 19:01 |
| font-monaspace-krypton-1.101-r0.apk | 2169080 | 2024-Oct-25 19:01 |
| font-monaspace-argon-1.101-r0.apk | 2315696 | 2024-Oct-25 19:01 |
| font-monaspace-1.101-r0.apk | 1489 | 2024-Oct-25 19:01 |
| font-material-icons-4.0.0-r0.apk | 667497 | 2024-Oct-25 19:01 |
| font-katex-0.16.2-r0.apk | 871998 | 2024-Oct-25 19:01 |
| font-intel-one-mono-1.3.0-r0.apk | 288201 | 2024-Oct-25 19:01 |
| font-fontawesome-4-4.7.0-r3.apk | 209929 | 2024-Oct-25 19:01 |
| font-firamath-0.3.4-r0.apk | 121165 | 2024-Oct-25 19:01 |
| font-siji-20190218_git-r2.apk | 25083 | 2024-Oct-25 19:01 |
| font-monocraft-4.0-r0.apk | 692993 | 2024-Oct-25 19:01 |
| font-monaspace-xenon-1.101-r0.apk | 2452472 | 2024-Oct-25 19:01 |
| font-monaspace-radon-1.101-r0.apk | 2878218 | 2024-Oct-25 19:01 |
| font-monaspace-neon-1.101-r0.apk | 2239074 | 2024-Oct-25 19:01 |
| font-stix-otf-2.13-r0.apk | 2139971 | 2024-Oct-25 19:01 |
| font-babelstone-han-15.1.3-r0.apk | 19204371 | 2024-Oct-25 19:01 |
| font-anonymous-pro-1.002-r2.apk | 270821 | 2024-Oct-25 19:01 |
| foma-dev-0.10.0_git20240712-r0.apk | 8679 | 2024-Oct-25 19:01 |
| foma-0.10.0_git20240712-r0.apk | 339059 | 2024-Oct-25 19:01 |
| fnf-doc-0.1-r0.apk | 4706 | 2024-Oct-25 19:01 |
| fnf-0.1-r0.apk | 16488 | 2024-Oct-25 19:01 |
| font-fantasque-sans-doc-1.8.0-r0.apk | 5648 | 2024-Oct-25 19:01 |
| font-fira-code-vf-6.2-r0.apk | 148546 | 2024-Oct-25 19:01 |
| font-fira-code-6.2-r0.apk | 856068 | 2024-Oct-25 19:01 |
| font-fantasque-sans-normal-1.8.0-r0.apk | 323370 | 2024-Oct-25 19:01 |
| font-fantasque-sans-noloopk-1.8.0-r0.apk | 323370 | 2024-Oct-25 19:01 |
| font-fantasque-sans-largelineheightnoloopk-1.8.0..> | 323381 | 2024-Oct-25 19:01 |
| font-fantasque-sans-largelineheight-1.8.0-r0.apk | 323370 | 2024-Oct-25 19:01 |
| font-fantasque-sans-1.8.0-r0.apk | 1226 | 2024-Oct-25 19:01 |
| font-cousine-0_git20210228-r0.apk | 112627 | 2024-Oct-25 19:01 |
| font-commit-mono-1.143-r0.apk | 257310 | 2024-Oct-25 19:01 |
| font-comic-neue-doc-2.51-r0.apk | 1028163 | 2024-Oct-25 19:01 |
| font-comic-neue-2.51-r0.apk | 254744 | 2024-Oct-25 19:01 |
| font-chivo-mono-0_git20221110-r0.apk | 640885 | 2024-Oct-25 19:01 |
| font-chivo-0_git20221110-r0.apk | 811370 | 2024-Oct-25 19:01 |
| flintqs-1.0-r1.apk | 20764 | 2024-Oct-25 19:01 |
| flauschige-uhr-0.1-r1.apk | 4345 | 2024-Oct-25 19:01 |
| flare-game-1.14-r0.apk | 2240 | 2024-Oct-25 19:01 |
| firehol-openrc-3.1.7-r2.apk | 2105 | 2024-Oct-25 19:01 |
| firehol-doc-3.1.7-r2.apk | 690785 | 2024-Oct-25 19:01 |
| firehol-3.1.7-r2.apk | 86789 | 2024-Oct-25 19:01 |
| fdm-materials-5.2.2-r1.apk | 61099 | 2024-Oct-25 19:00 |
| finger-doc-0.5-r0.apk | 3889 | 2024-Oct-25 19:00 |
| finger-0.5-r0.apk | 6600 | 2024-Oct-25 19:00 |
| findtow-0.1-r0.apk | 4932 | 2024-Oct-25 19:00 |
| filite-0.3.0-r2.apk | 1180008 | 2024-Oct-25 19:00 |
| fildesh-vim-0.2.0-r0.apk | 3635 | 2024-Oct-25 19:00 |
| fildesh-doc-0.2.0-r0.apk | 2152 | 2024-Oct-25 19:00 |
| fildesh-0.2.0-r0.apk | 68591 | 2024-Oct-25 19:00 |
| ffsend-zsh-completion-0.2.76-r4.apk | 4675 | 2024-Oct-25 19:00 |
| ffsend-fish-completion-0.2.76-r4.apk | 3660 | 2024-Oct-25 19:00 |
| ffsend-bash-completion-0.2.76-r4.apk | 3699 | 2024-Oct-25 19:00 |
| ffsend-0.2.76-r4.apk | 1577780 | 2024-Oct-25 19:00 |
| fflas-ffpack-2.5.0-r3.apk | 353643 | 2024-Oct-25 19:00 |
| fff-doc-2.2-r0.apk | 9203 | 2024-Oct-25 19:00 |
| fff-2.2-r0.apk | 10993 | 2024-Oct-25 19:00 |
| featherpad-lang-1.5.1-r0.apk | 473862 | 2024-Oct-25 19:00 |
| featherpad-1.5.1-r0.apk | 726101 | 2024-Oct-25 19:00 |
| fbcur-doc-1.0.1-r1.apk | 2217 | 2024-Oct-25 19:00 |
| fbcur-1.0.1-r1.apk | 4629 | 2024-Oct-25 19:00 |
| fava-pyc-1.28-r0.apk | 168039 | 2024-Oct-25 19:00 |
| fava-1.28-r0.apk | 1125067 | 2024-Oct-25 19:00 |
| fatresize-doc-1.1.0-r1.apk | 15564 | 2024-Oct-25 19:00 |
| fatresize-1.1.0-r1.apk | 8864 | 2024-Oct-25 19:00 |
| fatback-doc-1.3-r2.apk | 16469 | 2024-Oct-25 19:00 |
| fatback-1.3-r2.apk | 28923 | 2024-Oct-25 19:00 |
| fakeroot-tcp-1.32.1-r1.apk | 28601 | 2024-Oct-25 19:00 |
| fabric-pyc-3.2.2-r1.apk | 61591 | 2024-Oct-25 19:00 |
| fabric-3.2.2-r1.apk | 55948 | 2024-Oct-25 19:00 |
| faultstat-bash-completion-0.01.11-r0.apk | 2351 | 2024-Oct-25 19:00 |
| extundelete-0.2.4-r1.apk | 40095 | 2024-Oct-25 19:00 |
| extremetuxracer-doc-0.8.3-r0.apk | 6861 | 2024-Oct-25 19:00 |
| extremetuxracer-0.8.3-r0.apk | 41446811 | 2024-Oct-25 19:00 |
| faultstat-doc-0.01.11-r0.apk | 3110 | 2024-Oct-25 19:00 |
| faultstat-0.01.11-r0.apk | 12647 | 2024-Oct-25 19:00 |
| extrace-0.9-r0.apk | 9653 | 2024-Oct-25 19:00 |
| endless-sky-0.10.2-r0.apk | 254026046 | 2024-Oct-25 19:00 |
| ettercap-doc-0.8.3.1-r3.apk | 46205 | 2024-Oct-25 19:00 |
| ettercap-0.8.3.1-r3.apk | 569467 | 2024-Oct-25 19:00 |
| esptool-pyc-4.8.1-r0.apk | 562524 | 2024-Oct-25 19:00 |
| esptool-4.8.1-r0.apk | 434188 | 2024-Oct-25 19:00 |
| espeakup-openrc-0.90-r2.apk | 1859 | 2024-Oct-25 19:00 |
| espeakup-0.90-r2.apk | 11056 | 2024-Oct-25 19:00 |
| epr-pyc-2.4.15-r1.apk | 25009 | 2024-Oct-25 19:00 |
| epr-2.4.15-r1.apk | 16078 | 2024-Oct-25 19:00 |
| epoch-1.3.0-r2.apk | 56616 | 2024-Oct-25 19:00 |
| envsubst-0.1-r1.apk | 4787 | 2024-Oct-25 19:00 |
| enlighten-doc-0.9.2-r1.apk | 3596 | 2024-Oct-25 19:00 |
| enlighten-0.9.2-r1.apk | 7131 | 2024-Oct-25 19:00 |
| enjoy-0.3-r1.apk | 12215 | 2024-Oct-25 19:00 |
| endless-sky-doc-0.10.2-r0.apk | 37386 | 2024-Oct-25 19:00 |
| extrace-doc-0.9-r0.apk | 3600 | 2024-Oct-25 19:00 |
| eva-0.3.1-r2.apk | 646779 | 2024-Oct-25 19:00 |
| elf_diff-0.7.1-r3.apk | 110874 | 2024-Oct-25 19:00 |
| emacs-persist-0.6_git20240114-r0.apk | 6787 | 2024-Oct-25 19:00 |
| emulationstation-theme-gbz35-2.11.2-r1.apk | 3413270 | 2024-Oct-25 19:00 |
| emulationstation-2.11.2-r1.apk | 1320366 | 2024-Oct-25 19:00 |
| empede-openrc-0.2.3-r0.apk | 1970 | 2024-Oct-25 19:00 |
| empede-doc-0.2.3-r0.apk | 2341 | 2024-Oct-25 19:00 |
| empede-0.2.3-r0.apk | 1724657 | 2024-Oct-25 19:00 |
| emacs-svg-lib-0_git20240219-r0.apk | 19449 | 2024-Oct-25 19:00 |
| emacs-sqlite3-api-0.18-r0.apk | 17419 | 2024-Oct-25 19:00 |
| emacs-powerline-2.4_git20221110-r0.apk | 29612 | 2024-Oct-25 19:00 |
| emacs-hydra-0.15.0_git20220910-r0.apk | 47069 | 2024-Oct-25 19:00 |
| emacs-hnreader-0_git20221116-r0.apk | 10009 | 2024-Oct-25 19:00 |
| emacs-helm-3.9.7_git20240329-r0.apk | 834738 | 2024-Oct-25 19:00 |
| emacs-hackernews-0.7.0-r0.apk | 15901 | 2024-Oct-25 19:00 |
| emacs-gnosis-0.3.2-r0.apk | 63634 | 2024-Oct-25 19:00 |
| emacs-fossil-0_git20230504-r0.apk | 14975 | 2024-Oct-25 19:00 |
| emacs-epkg-3.3.3_git20240713-r0.apk | 37703 | 2024-Oct-25 19:00 |
| emacs-emacsql-sqlite-module-3.1.1_git20240714-r0..> | 4392 | 2024-Oct-25 19:00 |
| emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk | 17704 | 2024-Oct-25 19:00 |
| emacs-emacsql-psql-3.1.1_git20240714-r0.apk | 5995 | 2024-Oct-25 19:00 |
| emacs-emacsql-mysql-3.1.1_git20240714-r0.apk | 6205 | 2024-Oct-25 19:00 |
| emacs-emacsql-3.1.1_git20240714-r0.apk | 23143 | 2024-Oct-25 19:00 |
| emacs-consult-1.4_git20240405-r0.apk | 141064 | 2024-Oct-25 19:00 |
| emacs-closql-1.2.1_git20240712-r0.apk | 14714 | 2024-Oct-25 19:00 |
| emacs-centaur-tabs-3.2_git20230601-r0.apk | 56397 | 2024-Oct-25 19:00 |
| emacs-avy-0.5.0_git20230420-r0.apk | 44485 | 2024-Oct-25 19:00 |
| emacs-ace-window-0.10.0_git20220911-r0.apk | 23327 | 2024-Oct-25 19:00 |
| eludris-doc-0.3.3-r1.apk | 2342 | 2024-Oct-25 19:00 |
| eludris-0.3.3-r1.apk | 1942970 | 2024-Oct-25 19:00 |
| elfio-dev-3.12-r0.apk | 56326 | 2024-Oct-25 19:00 |
| elfio-3.12-r0.apk | 1460 | 2024-Oct-25 19:00 |
| elf_diff-pyc-0.7.1-r3.apk | 110555 | 2024-Oct-25 19:00 |
| electron-tasje-0.7.3-r0.apk | 1269647 | 2024-Oct-25 19:00 |
| ecos-2.0.10-r0.apk | 40298 | 2024-Oct-25 19:00 |
| edward-doc-1.1.0-r0.apk | 5398 | 2024-Oct-25 19:00 |
| edward-1.1.0-r0.apk | 2066439 | 2024-Oct-25 19:00 |
| ecos-dev-2.0.10-r0.apk | 28594 | 2024-Oct-25 19:00 |
| dwl-0.7-r0.apk | 27495 | 2024-Oct-25 19:00 |
| dvdbackup-doc-0.4.2-r1.apk | 7761 | 2024-Oct-25 19:00 |
| dvdbackup-0.4.2-r1.apk | 16229 | 2024-Oct-25 19:00 |
| dustracing2d-2.1.1-r1.apk | 5381302 | 2024-Oct-25 19:00 |
| dune-deps-1.3.0-r2.apk | 816720 | 2024-Oct-25 19:00 |
| dulcepan-1.0.2-r0.apk | 20408 | 2024-Oct-25 19:00 |
| duc-doc-1.4.5-r0.apk | 9304 | 2024-Oct-25 19:00 |
| duc-1.4.5-r0.apk | 85679 | 2024-Oct-25 19:00 |
| dublin-traceroute-doc-0.4.2-r4.apk | 2361 | 2024-Oct-25 19:00 |
| dublin-traceroute-dev-0.4.2-r4.apk | 7059 | 2024-Oct-25 19:00 |
| dublin-traceroute-contrib-0.4.2-r4.apk | 2929 | 2024-Oct-25 19:00 |
| dublin-traceroute-0.4.2-r4.apk | 46084 | 2024-Oct-25 19:00 |
| drumgizmo-0.9.20-r1.apk | 403968 | 2024-Oct-25 19:00 |
| dvdbackup-lang-0.4.2-r1.apk | 1462 | 2024-Oct-25 19:00 |
| eboard-doc-1.1.3-r1.apk | 4774 | 2024-Oct-25 19:00 |
| eboard-1.1.3-r1.apk | 1502255 | 2024-Oct-25 19:00 |
| eatmemory-0.1.6-r2.apk | 4402 | 2024-Oct-25 19:00 |
| dwl-doc-0.7-r0.apk | 3198 | 2024-Oct-25 19:00 |
| dotenv-linter-3.3.0-r1.apk | 996552 | 2024-Oct-25 18:59 |
| dnsperf-2.14.0-r0.apk | 72640 | 2024-Oct-25 18:59 |
| dnsenum-doc-1.3.2-r0.apk | 5365 | 2024-Oct-25 18:59 |
| dnsenum-1.3.2-r0.apk | 21736 | 2024-Oct-25 18:59 |
| dnscrypt-wrapper-0.4.2-r3.apk | 29786 | 2024-Oct-25 18:59 |
| dnsperf-doc-2.14.0-r0.apk | 35782 | 2024-Oct-25 18:59 |
| dfu-programmer-bash-completion-1.1.0-r0.apk | 2849 | 2024-Oct-25 18:59 |
| dfu-programmer-1.1.0-r0.apk | 35868 | 2024-Oct-25 18:59 |
| dewduct-0.2.3-r0.apk | 1153196 | 2024-Oct-25 18:59 |
| devil-dev-1.8.0-r0.apk | 13212 | 2024-Oct-25 18:59 |
| devil-1.8.0-r0.apk | 246862 | 2024-Oct-25 18:59 |
| detox-doc-2.0.0-r0.apk | 21235 | 2024-Oct-25 18:59 |
| detox-2.0.0-r0.apk | 110094 | 2024-Oct-25 18:59 |
| dlib-dev-19.24.4-r0.apk | 2546839 | 2024-Oct-25 18:59 |
| dlib-19.24.4-r0.apk | 783251 | 2024-Oct-25 18:59 |
| diskonaut-0.11.0-r3.apk | 450341 | 2024-Oct-25 18:59 |
| disfetch-3.7-r0.apk | 8491 | 2024-Oct-25 18:59 |
| dfu-programmer-doc-1.1.0-r0.apk | 5898 | 2024-Oct-25 18:59 |
| desed-doc-1.2.1-r1.apk | 2950 | 2024-Oct-25 18:59 |
| desed-1.2.1-r1.apk | 395096 | 2024-Oct-25 18:59 |
| dehydrated-0.7.1-r0.apk | 27072 | 2024-Oct-25 18:59 |
| debconf-utils-1.5.82-r0.apk | 6822 | 2024-Oct-25 18:59 |
| debconf-lang-1.5.82-r0.apk | 135606 | 2024-Oct-25 18:59 |
| debconf-doc-1.5.82-r0.apk | 27448 | 2024-Oct-25 18:59 |
| debconf-bash-completion-1.5.82-r0.apk | 1900 | 2024-Oct-25 18:59 |
| debconf-1.5.82-r0.apk | 71068 | 2024-Oct-25 18:59 |
| deadbeef-soxr-20180801-r0.apk | 6138 | 2024-Oct-25 18:59 |
| ddserver-0_git20200930-r1.apk | 12226 | 2024-Oct-25 18:59 |
| ddgr-zsh-completion-2.2-r0.apk | 2761 | 2024-Oct-25 18:59 |
| ddgr-fish-completion-2.2-r0.apk | 2357 | 2024-Oct-25 18:59 |
| ddgr-doc-2.2-r0.apk | 11825 | 2024-Oct-25 18:59 |
| ddgr-bash-completion-2.2-r0.apk | 2281 | 2024-Oct-25 18:59 |
| ddgr-2.2-r0.apk | 20579 | 2024-Oct-25 18:59 |
| dcnnt-pyc-0.10.0-r1.apk | 63147 | 2024-Oct-25 18:59 |
| dcnnt-doc-0.10.0-r1.apk | 6750 | 2024-Oct-25 18:59 |
| dcnnt-0.10.0-r1.apk | 28448 | 2024-Oct-25 18:59 |
| dasht-zsh-completion-2.4.0-r0.apk | 2136 | 2024-Oct-25 18:59 |
| dasht-doc-2.4.0-r0.apk | 11680 | 2024-Oct-25 18:59 |
| dasht-2.4.0-r0.apk | 14582 | 2024-Oct-25 18:59 |
| daktilo-zsh-completion-0.6.0-r0.apk | 2320 | 2024-Oct-25 18:59 |
| daktilo-fish-completion-0.6.0-r0.apk | 1984 | 2024-Oct-25 18:59 |
| daktilo-doc-0.6.0-r0.apk | 8874 | 2024-Oct-25 18:59 |
| daktilo-bash-completion-0.6.0-r0.apk | 2217 | 2024-Oct-25 18:59 |
| daktilo-0.6.0-r0.apk | 1847068 | 2024-Oct-25 18:59 |
| daemontools-openrc-0.76-r3.apk | 2006 | 2024-Oct-25 18:59 |
| daemontools-0.76-r3.apk | 62601 | 2024-Oct-25 18:59 |
| cz-viator-hourglass-black-20210706-r0.apk | 224307 | 2024-Oct-25 18:59 |
| cyrus-sasl-xoauth2-static-0.2-r1.apk | 7068 | 2024-Oct-25 18:59 |
| cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2333 | 2024-Oct-25 18:59 |
| cyrus-sasl-xoauth2-0.2-r1.apk | 6897 | 2024-Oct-25 18:59 |
| cvs-fast-export-tools-1.65-r0.apk | 8871 | 2024-Oct-25 18:59 |
| cvs-fast-export-doc-1.65-r0.apk | 17868 | 2024-Oct-25 18:59 |
| cvs-fast-export-1.65-r0.apk | 46859 | 2024-Oct-25 18:59 |
| cutechess-doc-1.3.1-r0.apk | 3655 | 2024-Oct-25 18:59 |
| cutechess-cli-doc-1.3.1-r0.apk | 6738 | 2024-Oct-25 18:59 |
| cutechess-cli-1.3.1-r0.apk | 332144 | 2024-Oct-25 18:59 |
| cutechess-1.3.1-r0.apk | 1105335 | 2024-Oct-25 18:59 |
| curlftpfs-doc-0.9.2-r3.apk | 6267 | 2024-Oct-25 18:59 |
| curlftpfs-0.9.2-r3.apk | 25447 | 2024-Oct-25 18:59 |
| cura-lang-5.2.2-r1.apk | 4278750 | 2024-Oct-25 18:59 |
| cura-5.2.2-r1.apk | 44146928 | 2024-Oct-25 18:59 |
| cscope-15.9-r1.apk | 154982 | 2024-Oct-25 18:59 |
| csol-doc-1.6.0-r0.apk | 3942 | 2024-Oct-25 18:59 |
| csol-1.6.0-r0.apk | 38501 | 2024-Oct-25 18:59 |
| csmith-doc-2.3.0-r2.apk | 3146 | 2024-Oct-25 18:59 |
| csmith-2.3.0-r2.apk | 310836 | 2024-Oct-25 18:59 |
| csfml-doc-2.5.2-r0.apk | 208904 | 2024-Oct-25 18:59 |
| csfml-dev-2.5.2-r0.apk | 79059 | 2024-Oct-25 18:59 |
| csfml-2.5.2-r0.apk | 94978 | 2024-Oct-25 18:59 |
| cscope-doc-15.9-r1.apk | 7670 | 2024-Oct-25 18:59 |
| ctorrent-dnh-3.3.2-r2.apk | 88245 | 2024-Oct-25 18:59 |
| crossplane-pyc-0.5.8-r3.apk | 40190 | 2024-Oct-25 18:59 |
| crossplane-0.5.8-r3.apk | 30928 | 2024-Oct-25 18:59 |
| createrepo_c-libs-1.1.4-r0.apk | 90064 | 2024-Oct-25 18:59 |
| createrepo_c-doc-1.1.4-r0.apk | 8863 | 2024-Oct-25 18:59 |
| createrepo_c-dev-1.1.4-r0.apk | 32231 | 2024-Oct-25 18:59 |
| createrepo_c-bash-completion-1.1.4-r0.apk | 2947 | 2024-Oct-25 18:59 |
| createrepo_c-1.1.4-r0.apk | 47932 | 2024-Oct-25 18:59 |
| crazydiskinfo-1.1.0-r1.apk | 34413 | 2024-Oct-25 18:59 |
| cpuburn-1.4a_git20160316-r2.apk | 4156 | 2024-Oct-25 18:59 |
| cpiped-0.1.0-r0.apk | 6503 | 2024-Oct-25 18:59 |
| coxeter-libs-3.0-r1.apk | 318236 | 2024-Oct-25 18:59 |
| coxeter-dev-3.0-r1.apk | 58106 | 2024-Oct-25 18:59 |
| coxeter-3.0-r1.apk | 49471 | 2024-Oct-25 18:59 |
| cowsay-doc-3.04-r2.apk | 4095 | 2024-Oct-25 18:59 |
| cowsay-3.04-r2.apk | 18815 | 2024-Oct-25 18:59 |
| cosmopolitan-doc-1.0-r0.apk | 155622 | 2024-Oct-25 18:59 |
| cosmopolitan-1.0-r0.apk | 5755978 | 2024-Oct-25 18:59 |
| console_bridge-dev-1.0.2-r0.apk | 4841 | 2024-Oct-25 18:59 |
| console_bridge-1.0.2-r0.apk | 9863 | 2024-Oct-25 18:59 |
| commoncpp-7.0.1-r1.apk | 295427 | 2024-Oct-25 18:59 |
| colormake-doc-0.9.20170221-r0.apk | 2751 | 2024-Oct-25 18:59 |
| colormake-0.9.20170221-r0.apk | 4145 | 2024-Oct-25 18:59 |
| commoncpp-tools-7.0.1-r1.apk | 47713 | 2024-Oct-25 18:59 |
| coccinelle-doc-1.1.1-r2.apk | 16356 | 2024-Oct-25 18:59 |
| coccinelle-bash-completion-1.1.1-r2.apk | 2924 | 2024-Oct-25 18:59 |
| coccinelle-1.1.1-r2.apk | 7073408 | 2024-Oct-25 18:59 |
| commoncpp-doc-7.0.1-r1.apk | 15183 | 2024-Oct-25 18:59 |
| commoncpp-dev-7.0.1-r1.apk | 177179 | 2024-Oct-25 18:59 |
| colorpicker-0_git20201128-r1.apk | 4316 | 2024-Oct-25 18:59 |
| circuslinux-doc-1.0.3-r1.apk | 18402 | 2024-Oct-25 18:59 |
| circuslinux-data-1.0.3-r1.apk | 1181487 | 2024-Oct-25 18:59 |
| circuslinux-1.0.3-r1.apk | 19831 | 2024-Oct-25 18:59 |
| clinfo-doc-3.0.23.01.25-r0.apk | 6629 | 2024-Oct-25 18:59 |
| cluster-glue-libs-1.0.12-r5.apk | 114943 | 2024-Oct-25 18:59 |
| cluster-glue-doc-1.0.12-r5.apk | 33722 | 2024-Oct-25 18:59 |
| cluster-glue-dev-1.0.12-r5.apk | 1098105 | 2024-Oct-25 18:59 |
| cluster-glue-1.0.12-r5.apk | 267411 | 2024-Oct-25 18:59 |
| clipit-doc-1.4.5-r3.apk | 2445 | 2024-Oct-25 18:59 |
| clipit-1.4.5-r3.apk | 66153 | 2024-Oct-25 18:59 |
| clinfo-3.0.23.01.25-r0.apk | 47650 | 2024-Oct-25 18:59 |
| clevis-extra-pins-0_git20230629-r0.apk | 4767 | 2024-Oct-25 18:59 |
| clatd-1.6-r0.apk | 12914 | 2024-Oct-25 18:59 |
| cimg-3.4.1-r0.apk | 845799 | 2024-Oct-25 18:59 |
| chim-doc-1.1.2-r1.apk | 2885 | 2024-Oct-25 18:59 |
| chim-1.1.2-r1.apk | 1769803 | 2024-Oct-25 18:59 |
| checkpolicy-doc-3.6-r0.apk | 4266 | 2024-Oct-25 18:59 |
| checkpolicy-3.6-r0.apk | 376422 | 2024-Oct-25 18:59 |
| charls-dev-2.4.2-r0.apk | 27251 | 2024-Oct-25 18:59 |
| charls-2.4.2-r0.apk | 65918 | 2024-Oct-25 18:59 |
| cgo-doc-0.6.1-r1.apk | 4212 | 2024-Oct-25 18:59 |
| cgo-0.6.1-r1.apk | 10102 | 2024-Oct-25 18:59 |
| cgiirc-0.5.12-r1.apk | 135904 | 2024-Oct-25 18:59 |
| cc65-2.19-r0.apk | 9283110 | 2024-Oct-25 18:59 |
| cdist-pyc-7.0.0-r6.apk | 130641 | 2024-Oct-25 18:59 |
| cdist-7.0.0-r6.apk | 523254 | 2024-Oct-25 18:59 |
| cddlib-tools-0.94m-r2.apk | 36185 | 2024-Oct-25 18:59 |
| cddlib-static-0.94m-r2.apk | 256811 | 2024-Oct-25 18:59 |
| cddlib-doc-0.94m-r2.apk | 884464 | 2024-Oct-25 18:59 |
| cddlib-dev-0.94m-r2.apk | 14430 | 2024-Oct-25 18:59 |
| cddlib-0.94m-r2.apk | 188641 | 2024-Oct-25 18:59 |
| cdba-server-1.0-r2.apk | 21081 | 2024-Oct-25 18:59 |
| cdba-1.0-r2.apk | 7822 | 2024-Oct-25 18:59 |
| ccze-doc-0.2.1-r1.apk | 9048 | 2024-Oct-25 18:59 |
| ccze-dev-0.2.1-r1.apk | 3404 | 2024-Oct-25 18:59 |
| ccze-0.2.1-r1.apk | 52364 | 2024-Oct-25 18:59 |
| ccrtp-doc-2.1.2-r0.apk | 32016 | 2024-Oct-25 18:59 |
| ccrtp-dev-2.1.2-r0.apk | 53980 | 2024-Oct-25 18:59 |
| ccrtp-2.1.2-r0.apk | 93571 | 2024-Oct-25 18:59 |
| catdoc-doc-0.95-r1.apk | 9470 | 2024-Oct-25 18:59 |
| catdoc-0.95-r1.apk | 110775 | 2024-Oct-25 18:59 |
| catcodec-doc-1.0.5-r2.apk | 5029 | 2024-Oct-25 18:59 |
| catcodec-1.0.5-r2.apk | 12794 | 2024-Oct-25 18:59 |
| castor-0.9.0-r2.apk | 740747 | 2024-Oct-25 18:59 |
| cargo-run-bin-doc-1.7.2-r0.apk | 5188 | 2024-Oct-25 18:59 |
| cargo-run-bin-1.7.2-r0.apk | 452726 | 2024-Oct-25 18:59 |
| care-doc-2.3.0-r1.apk | 8076 | 2024-Oct-25 18:59 |
| care-2.3.0-r1.apk | 97669 | 2024-Oct-25 18:59 |
| caps2esc-0.3.2-r0.apk | 4501 | 2024-Oct-25 18:59 |
| caffeine-ng-4.2.0-r1.apk | 102809 | 2024-Oct-25 18:59 |
| caffeine-ng-lang-4.2.0-r1.apk | 35186 | 2024-Oct-25 18:59 |
| bwrap-oci-0.2-r1.apk | 16095 | 2024-Oct-25 18:59 |
| burp-server-3.1.4-r0.apk | 37204 | 2024-Oct-25 18:59 |
| caja-gtkhash-plugin-1.5-r0.apk | 25562 | 2024-Oct-25 18:59 |
| burp-doc-3.1.4-r0.apk | 101798 | 2024-Oct-25 18:59 |
| burp-3.1.4-r0.apk | 174071 | 2024-Oct-25 18:59 |
| bump2version-pyc-1.0.1-r6.apk | 29810 | 2024-Oct-25 18:59 |
| bump2version-1.0.1-r6.apk | 21350 | 2024-Oct-25 18:59 |
| buildcache-0.28.9-r0.apk | 817002 | 2024-Oct-25 18:59 |
| caffeine-ng-doc-4.2.0-r1.apk | 3238 | 2024-Oct-25 18:59 |
| bwrap-oci-doc-0.2-r1.apk | 2551 | 2024-Oct-25 18:59 |
| bootinfo-0.1.0-r4.apk | 19898 | 2024-Oct-25 18:59 |
| bonzomatic-20230615-r0.apk | 642999 | 2024-Oct-25 18:59 |
| boxes-doc-2.3.1-r0.apk | 7285 | 2024-Oct-25 18:59 |
| btpd-doc-0.16-r2.apk | 8599 | 2024-Oct-25 18:59 |
| btpd-0.16-r2.apk | 81853 | 2024-Oct-25 18:59 |
| btfs-doc-2.24-r12.apk | 2446 | 2024-Oct-25 18:59 |
| btfs-2.24-r12.apk | 30052 | 2024-Oct-25 18:59 |
| brial-dev-1.2.11-r4.apk | 1693080 | 2024-Oct-25 18:59 |
| brial-1.2.11-r4.apk | 1079109 | 2024-Oct-25 18:59 |
| boxes-2.3.1-r0.apk | 79332 | 2024-Oct-25 18:59 |
| boson-0_git20211219-r0.apk | 17704 | 2024-Oct-25 18:59 |
| bootterm-dbg-0.5-r0.apk | 2434 | 2024-Oct-25 18:59 |
| bootterm-0.5-r0.apk | 18677 | 2024-Oct-25 18:59 |
| bootinfo-pyc-0.1.0-r4.apk | 8443 | 2024-Oct-25 18:59 |
| bestline-doc-0.0_git20211108-r0.apk | 18463007 | 2024-Oct-25 18:59 |
| boinc-libs-7.24.3-r0.apk | 206503 | 2024-Oct-25 18:59 |
| boinc-lang-7.24.3-r0.apk | 898002 | 2024-Oct-25 18:59 |
| boinc-gui-7.24.3-r0.apk | 1062361 | 2024-Oct-25 18:59 |
| boinc-doc-7.24.3-r0.apk | 8188 | 2024-Oct-25 18:59 |
| boinc-dev-7.24.3-r0.apk | 597042 | 2024-Oct-25 18:59 |
| boinc-7.24.3-r0.apk | 1616127 | 2024-Oct-25 18:59 |
| bliss-dev-0.77-r1.apk | 110074 | 2024-Oct-25 18:59 |
| bliss-0.77-r1.apk | 71096 | 2024-Oct-25 18:59 |
| blip-doc-0.10-r0.apk | 32741 | 2024-Oct-25 18:59 |
| blip-0.10-r0.apk | 15676 | 2024-Oct-25 18:59 |
| blackbox-1.20220610-r1.apk | 16084 | 2024-Oct-25 18:59 |
| bkt-doc-0.8.0-r0.apk | 7429 | 2024-Oct-25 18:59 |
| bkt-0.8.0-r0.apk | 368299 | 2024-Oct-25 18:59 |
| bitritter-0.1.1-r0.apk | 2361888 | 2024-Oct-25 18:59 |
| bitlbee-mastodon-1.4.5-r0.apk | 47828 | 2024-Oct-25 18:59 |
| bitlbee-facebook-1.2.2-r0.apk | 60912 | 2024-Oct-25 18:59 |
| birdtray-1.9.0-r1.apk | 416517 | 2024-Oct-25 18:59 |
| bgs-doc-0.8-r1.apk | 2359 | 2024-Oct-25 18:59 |
| bgs-0.8-r1.apk | 5801 | 2024-Oct-25 18:59 |
| bgpq4-doc-1.15-r0.apk | 6493 | 2024-Oct-25 18:59 |
| bgpq4-1.15-r0.apk | 33720 | 2024-Oct-25 18:59 |
| boinc-screensaver-7.24.3-r0.apk | 127120 | 2024-Oct-25 18:59 |
| bees-0.10-r2.apk | 296998 | 2024-Oct-25 18:59 |
| bestline-dev-0.0_git20211108-r0.apk | 1722 | 2024-Oct-25 18:59 |
| bestline-0.0_git20211108-r0.apk | 21462 | 2024-Oct-25 18:59 |
| berry-lang-1.1.0-r0.apk | 115925 | 2024-Oct-25 18:59 |
| bees-openrc-0.10-r2.apk | 1989 | 2024-Oct-25 18:59 |
| beard-doc-0.4-r0.apk | 2536 | 2024-Oct-25 18:59 |
| beard-0.4-r0.apk | 3215 | 2024-Oct-25 18:59 |
| bdfr-2.6.2-r1.apk | 134075 | 2024-Oct-25 18:59 |
| bchunk-doc-1.2.2-r3.apk | 3065 | 2024-Oct-25 18:59 |
| bchunk-1.2.2-r3.apk | 7019 | 2024-Oct-25 18:59 |
| bazel6-6.5.0-r0.apk | 31819432 | 2024-Oct-25 18:59 |
| bazel6-bash-completion-6.5.0-r0.apk | 43396 | 2024-Oct-25 18:59 |
| base64c-dev-0.2.1-r0.apk | 5420 | 2024-Oct-25 18:59 |
| base64c-0.2.1-r0.apk | 4270 | 2024-Oct-25 18:59 |
| bartib-1.0.1-r1.apk | 362774 | 2024-Oct-25 18:59 |
| barnyard2-openrc-2.1.14_git20160413-r1.apk | 2827 | 2024-Oct-25 18:59 |
| barnyard2-2.1.14_git20160413-r1.apk | 127846 | 2024-Oct-25 18:59 |
| bananui-shell-0.2.0-r0.apk | 105030 | 2024-Oct-25 18:59 |
| bananui-dev-2.0.0-r0.apk | 93622 | 2024-Oct-25 18:59 |
| bananui-dbg-2.0.0-r0.apk | 177238 | 2024-Oct-25 18:59 |
| bananui-daemons-0.1.0-r0.apk | 44840 | 2024-Oct-25 18:59 |
| bananui-clock-0.1.0-r0.apk | 6800 | 2024-Oct-25 18:59 |
| bananui-2.0.0-r0.apk | 54883 | 2024-Oct-25 18:59 |
| bakelite-0.4.2-r0.apk | 35087 | 2024-Oct-25 18:59 |
| bake-2.5.1-r0.apk | 111979 | 2024-Oct-25 18:59 |
| backup-manager-0.7.15-r1.apk | 56643 | 2024-Oct-25 18:59 |
| babashka-1.3.188-r0.apk | 24502056 | 2024-Oct-25 18:59 |
| b2sum-doc-20190729-r2.apk | 2802 | 2024-Oct-25 18:59 |
| b2sum-20190729-r2.apk | 15333 | 2024-Oct-25 18:59 |
| azure-iot-sdk-c-static-1.11.0-r0.apk | 798853 | 2024-Oct-25 18:59 |
| avra-dev-1.4.2-r0.apk | 260857 | 2024-Oct-25 18:59 |
| avra-1.4.2-r0.apk | 39770 | 2024-Oct-25 18:59 |
| avida-0_git20190813-r1.apk | 1989353 | 2024-Oct-25 18:59 |
| bananui-demos-2.0.0-r0.apk | 8734 | 2024-Oct-25 18:59 |
| batmon-0.0.1-r0.apk | 449838 | 2024-Oct-25 18:59 |
| avarice-doc-2.14-r4.apk | 9669 | 2024-Oct-25 18:59 |
| avarice-2.14-r4.apk | 66294 | 2024-Oct-25 18:59 |
| autotrash-pyc-0.4.7-r0.apk | 14391 | 2024-Oct-25 18:59 |
| autotrash-0.4.7-r0.apk | 23359 | 2024-Oct-25 18:59 |
| autoremove-torrents-pyc-1.5.5-r0.apk | 55081 | 2024-Oct-25 18:59 |
| autoremove-torrents-doc-1.5.5-r0.apk | 11853 | 2024-Oct-25 18:59 |
| autoremove-torrents-1.5.5-r0.apk | 36289 | 2024-Oct-25 18:59 |
| autoconf-policy-0.1-r0.apk | 5619 | 2024-Oct-25 18:59 |
| aufs-util-doc-20161219-r3.apk | 34713 | 2024-Oct-25 18:59 |
| aufs-util-dev-20161219-r3.apk | 1497 | 2024-Oct-25 18:59 |
| aufs-util-20161219-r3.apk | 179812 | 2024-Oct-25 18:59 |
| atool-doc-0.39.0-r4.apk | 9836 | 2024-Oct-25 18:59 |
| atool-bash-completion-0.39.0-r4.apk | 2089 | 2024-Oct-25 18:59 |
| atool-0.39.0-r4.apk | 18152 | 2024-Oct-25 18:59 |
| atomicparsley-20240608-r0.apk | 110135 | 2024-Oct-25 18:59 |
| atlantik-lang-3.5.10_git20240323-r0.apk | 70280 | 2024-Oct-25 18:59 |
| atlantik-doc-3.5.10_git20240323-r0.apk | 81213 | 2024-Oct-25 18:59 |
| atlantik-3.5.10_git20240323-r0.apk | 387736 | 2024-Oct-25 18:59 |
| atari800-doc-5.2.0-r0.apk | 57690 | 2024-Oct-25 18:59 |
| atari800-5.2.0-r0.apk | 347071 | 2024-Oct-25 18:59 |
| aspell-es-1.11-r0.apk | 545807 | 2024-Oct-25 18:59 |
| asciinema-rs-0.5.1-r3.apk | 1203058 | 2024-Oct-25 18:59 |
| armagetronad-0.2.9.1.1-r0.apk | 1616261 | 2024-Oct-25 18:59 |
| armagetronad-doc-0.2.9.1.1-r0.apk | 94171 | 2024-Oct-25 18:59 |
| arj-doc-0_git20220125-r1.apk | 10711 | 2024-Oct-25 18:59 |
| arj-0_git20220125-r1.apk | 129647 | 2024-Oct-25 18:59 |
| arc-dark-cinnamon-20221218-r0.apk | 70072 | 2024-Oct-25 18:59 |
| arc-theme-20221218-r0.apk | 1484 | 2024-Oct-25 18:59 |
| arc-metacity-20221218-r0.apk | 17660 | 2024-Oct-25 18:59 |
| arc-lighter-xfwm-20221218-r0.apk | 7905 | 2024-Oct-25 18:59 |
| arc-lighter-metacity-20221218-r0.apk | 17703 | 2024-Oct-25 18:59 |
| arc-lighter-gtk4-20221218-r0.apk | 115527 | 2024-Oct-25 18:59 |
| arc-lighter-gtk3-20221218-r0.apk | 127568 | 2024-Oct-25 18:59 |
| arc-lighter-gtk2-20221218-r0.apk | 38442 | 2024-Oct-25 18:59 |
| arc-lighter-20221218-r0.apk | 1807 | 2024-Oct-25 18:59 |
| arc-icon-theme-20161122-r0.apk | 4571308 | 2024-Oct-25 18:59 |
| arc-gtk4-20221218-r0.apk | 116244 | 2024-Oct-25 18:59 |
| arc-gtk3-20221218-r0.apk | 128895 | 2024-Oct-25 18:59 |
| arc-gtk2-20221218-r0.apk | 38432 | 2024-Oct-25 18:59 |
| arc-gnome-20221218-r0.apk | 29330 | 2024-Oct-25 18:59 |
| arc-darker-xfwm-20221218-r0.apk | 8062 | 2024-Oct-25 18:59 |
| arc-darker-metacity-20221218-r0.apk | 17903 | 2024-Oct-25 18:59 |
| arc-darker-gtk4-20221218-r0.apk | 112797 | 2024-Oct-25 18:59 |
| arc-darker-gtk3-20221218-r0.apk | 126717 | 2024-Oct-25 18:59 |
| arc-darker-gtk2-20221218-r0.apk | 39432 | 2024-Oct-25 18:59 |
| arc-darker-20221218-r0.apk | 1808 | 2024-Oct-25 18:59 |
| arc-dark-xfwm-20221218-r0.apk | 8060 | 2024-Oct-25 18:59 |
| arc-dark-metacity-20221218-r0.apk | 17893 | 2024-Oct-25 18:59 |
| arc-dark-gtk4-20221218-r0.apk | 88312 | 2024-Oct-25 18:59 |
| arc-dark-gtk3-20221218-r0.apk | 95511 | 2024-Oct-25 18:59 |
| arc-dark-gtk2-20221218-r0.apk | 39320 | 2024-Oct-25 18:59 |
| arc-dark-gnome-20221218-r0.apk | 27727 | 2024-Oct-25 18:59 |
| arc-xfwm-20221218-r0.apk | 7880 | 2024-Oct-25 18:59 |
| arc-dark-20221218-r0.apk | 1794 | 2024-Oct-25 18:59 |
| arc-cinnamon-20221218-r0.apk | 69666 | 2024-Oct-25 18:59 |
| arc-20221218-r0.apk | 1789 | 2024-Oct-25 18:59 |
| aravis-viewer-lang-0.8.31-r0.apk | 16377 | 2024-Oct-25 18:59 |
| aravis-viewer-0.8.31-r0.apk | 67162 | 2024-Oct-25 18:59 |
| aravis-libs-0.8.31-r0.apk | 179280 | 2024-Oct-25 18:59 |
| aravis-dev-0.8.31-r0.apk | 35170 | 2024-Oct-25 18:59 |
| aravis-0.8.31-r0.apk | 44567 | 2024-Oct-25 18:59 |
| aqemu-doc-0.9.4-r3.apk | 7698 | 2024-Oct-25 18:59 |
| aqemu-0.9.4-r3.apk | 1712358 | 2024-Oct-25 18:59 |
| apt-mirror-doc-0.5.4-r0.apk | 4743 | 2024-Oct-25 18:59 |
| apt-mirror-0.5.4-r0.apk | 9671 | 2024-Oct-25 18:59 |
| apt-dater-lang-1.0.4-r4.apk | 13448 | 2024-Oct-25 18:59 |
| apt-dater-doc-1.0.4-r4.apk | 10113 | 2024-Oct-25 18:59 |
| apt-dater-1.0.4-r4.apk | 58339 | 2024-Oct-25 18:59 |
| apmpkg-zsh-completion-1.5.1-r3.apk | 2505 | 2024-Oct-25 18:59 |
| apmpkg-fish-completion-1.5.1-r3.apk | 2115 | 2024-Oct-25 18:59 |
| apmpkg-doc-1.5.1-r3.apk | 3123 | 2024-Oct-25 18:59 |
| apmpkg-bash-completion-1.5.1-r3.apk | 2241 | 2024-Oct-25 18:59 |
| apmpkg-1.5.1-r3.apk | 1700770 | 2024-Oct-25 18:59 |
| apk-snap-doc-3.1.1-r0.apk | 20424 | 2024-Oct-25 18:59 |
| apk-snap-3.1.1-r0.apk | 6806 | 2024-Oct-25 18:59 |
| apache2-mod-realdoc-1-r1.apk | 4708 | 2024-Oct-25 18:59 |
| apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10219 | 2024-Oct-25 18:59 |
| apache2-mod-authnz-external-3.3.3-r0.apk | 8351 | 2024-Oct-25 18:59 |
| apache-mod-auth-gssapi-1.6.5-r1.apk | 61277 | 2024-Oct-25 18:59 |
| angband-4.2.5-r0.apk | 23716808 | 2024-Oct-25 18:59 |
| ansiweather-doc-1.19.0-r1.apk | 3097 | 2024-Oct-25 18:59 |
| ansible-bender-pyc-0.10.1-r2.apk | 66568 | 2024-Oct-25 18:59 |
| ansible-bender-doc-0.10.1-r2.apk | 10334 | 2024-Oct-25 18:59 |
| ansible-bender-0.10.1-r2.apk | 37169 | 2024-Oct-25 18:59 |
| ansiweather-1.19.0-r1.apk | 4834 | 2024-Oct-25 18:59 |
| anarch-1.0-r1.apk | 94007 | 2024-Oct-25 18:59 |
| amule-lang-2.3.3-r13.apk | 1644042 | 2024-Oct-25 18:59 |
| amule-doc-2.3.3-r13.apk | 288200 | 2024-Oct-25 18:59 |
| amule-2.3.3-r13.apk | 4094579 | 2024-Oct-25 18:59 |
| amiitool-2-r2.apk | 7988 | 2024-Oct-25 18:59 |
| amdgpu-fan-pyc-0.1.0-r5.apk | 9843 | 2024-Oct-25 18:59 |
| amdgpu-fan-0.1.0-r5.apk | 14347 | 2024-Oct-25 18:59 |
| alttab-doc-1.7.1-r0.apk | 10550 | 2024-Oct-25 18:59 |
| alttab-1.7.1-r0.apk | 37544 | 2024-Oct-25 18:59 |
| android-apkeep-0.17.0-r0.apk | 2095695 | 2024-Oct-25 18:59 |
| anari-sdk-static-0.7.2-r0.apk | 185066 | 2024-Oct-25 18:59 |
| anari-sdk-dev-0.7.2-r0.apk | 60006 | 2024-Oct-25 18:59 |
| anari-sdk-0.7.2-r0.apk | 295550 | 2024-Oct-25 18:59 |
| anarch-doc-1.0-r1.apk | 18409 | 2024-Oct-25 18:59 |
| airsonic-advanced-openrc-11.0.0_git20230217-r0.apk | 1850 | 2024-Oct-25 18:59 |
| alarmwakeup-utils-0.2.1-r0.apk | 4100 | 2024-Oct-25 18:59 |
| alarmwakeup-libs-0.2.1-r0.apk | 4558 | 2024-Oct-25 18:59 |
| alarmwakeup-dev-0.2.1-r0.apk | 2618 | 2024-Oct-25 18:59 |
| alarmwakeup-dbg-0.2.1-r0.apk | 18222 | 2024-Oct-25 18:59 |
| alarmwakeup-0.2.1-r0.apk | 6566 | 2024-Oct-25 18:59 |
| airsonic-advanced-11.0.0_git20230217-r0.apk | 95720212 | 2024-Oct-25 18:59 |
| afetch-doc-2.2.0-r1.apk | 13904 | 2024-Oct-25 18:59 |
| advancemame-mess-3.9-r4.apk | 4135410 | 2024-Oct-25 18:59 |
| agrep-0.8.0-r2.apk | 8530 | 2024-Oct-25 18:59 |
| agrep-doc-0.8.0-r2.apk | 4209 | 2024-Oct-25 18:59 |
| afetch-2.2.0-r1.apk | 9219 | 2024-Oct-25 18:59 |
| advancescan-doc-1.18-r1.apk | 7439 | 2024-Oct-25 18:59 |
| advancescan-1.18-r1.apk | 274531 | 2024-Oct-25 18:59 |
| adjtimex-doc-1.29-r0.apk | 7258 | 2024-Oct-25 18:59 |
| adjtimex-1.29-r0.apk | 19479 | 2024-Oct-25 18:59 |
| ace-of-penguins-doc-1.4-r3.apk | 49751 | 2024-Oct-25 18:59 |
| ace-of-penguins-1.4-r3.apk | 162470 | 2024-Oct-25 18:59 |
| abc-0_git20240102-r0.apk | 5169696 | 2024-Oct-25 18:59 |
| admesh-dev-0.98.5-r0.apk | 4140 | 2024-Oct-25 18:59 |
| advancemame-menu-3.9-r4.apk | 925174 | 2024-Oct-25 18:59 |
| advancemame-doc-3.9-r4.apk | 382539 | 2024-Oct-25 18:59 |
| advancemame-data-3.9-r4.apk | 6057083 | 2024-Oct-25 18:59 |
| advancemame-3.9-r4.apk | 13098765 | 2024-Oct-25 18:59 |
| admesh-doc-0.98.5-r0.apk | 23995 | 2024-Oct-25 18:59 |
| admesh-0.98.5-r0.apk | 26624 | 2024-Oct-25 18:59 |
| a2jmidid-doc-9-r3.apk | 4287 | 2024-Oct-25 18:59 |
| a2jmidid-9-r3.apk | 29939 | 2024-Oct-25 18:59 |
| 9base-troff-6-r2.apk | 496139 | 2024-Oct-25 18:59 |
| 9base-doc-6-r2.apk | 65250 | 2024-Oct-25 18:59 |
| 9base-6-r2.apk | 1891039 | 2024-Oct-25 18:59 |