Package: coriolis-eda Version: 2.5.5-1+194.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 463911 Depends: libboost-program-options1.74.0 (>= 1.74.0), libbz2-1.0, libc6 (>= 2.34), libgcc-s1 (>= 3.0), libqt5core5a (>= 5.15.1), libqt5gui5 (>= 5.8.0) | libqt5gui5-gles (>= 5.8.0), libqt5printsupport5 (>= 5.0.2), libqt5widgets5 (>= 5.2.0), libqwt-qt5-6 (>= 6.1.2), libstdc++6 (>= 11), python3-doit Filename: amd64/coriolis-eda_2.5.5-1+194.1_amd64.deb Size: 112307444 MD5sum: 496ccd156d02b593e3392a70eddada92 SHA1: 01f9d86765461dc4cfa301497f0303ce17968d83 SHA256: 153d6e35feccb07ef8ec9a310df1ded6d9e59b3f481c15a9f9620b96192e2935 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: A FOSS EDA tool suite for physical design. Provides an integrated place & route for ASICs. Support symbolic layout (Alliance) and real layout (GDS). While mainly written in C++, it has an extensive Python interface. Package: coriolis-eda-dev Source: coriolis-eda Version: 2.5.5-1+194.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 32 Filename: amd64/coriolis-eda-dev_2.5.5-1+194.1_amd64.deb Size: 6766 MD5sum: 323456f5ee7f1333684c50f05ceea2cb SHA1: bfc9a3fba3857eaa4f61e2bbc35e64578c7f52ee SHA256: 69506a58692e1477c050f33f307363d98cc61f4aebc07c2b88b6b354b82bb02a Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Development files for the Coriolis EDA toolchain. Package: coriolis-eda-doc Source: coriolis-eda Version: 2.5.5-1+194.1 Architecture: amd64 Maintainer: Mazher Iqbal Installed-Size: 50532 Filename: amd64/coriolis-eda-doc_2.5.5-1+194.1_amd64.deb Size: 7538626 MD5sum: 09132236f1e20efda83a4c25a59c696a SHA1: 7b4d73591e1f25be14b9b859d00d8bf960c4069f SHA256: 00b7ed501e5940d18269ff8765c23ab33aad23dd8884edb0a124a07f3a4b7587 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: This documentation is a subset of the Coriolis website. It provides informations for: - The Hurricane database (C++). - The Coriolis Core (CRL) infrastructure (C++). - The dedicated C++/Python wrapper (Isobar,C/C++/Python). The Python interface has been made so that it mimics closely the C++ one, so the C++ doc can be used for both. - Some technical specifications. - A general introduction and user's manual. Package: coriolis-pdk-ihpsg13g2 Version: 2024.10.15-1+27.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 186642 Depends: coriolis-eda Filename: all/coriolis-pdk-ihpsg13g2_2024.10.15-1+27.1_all.deb Size: 23616760 MD5sum: 764add4d56cf21c51ffa618feccc5f50 SHA1: 13c59b39ffc71ac72ff7bac8bfc5041206216acc SHA256: 83b753e811b905f17dcd871f00c338f9003da8398f8159f93427c0df70838ca5 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: coriolis-pdk-ihpsg13g2-c4m Version: 2024.10.15-1+28.1 Architecture: all Maintainer: Jean-Paul Chaput Installed-Size: 6082 Depends: coriolis-pdk-ihpsg13g2, yosys Filename: all/coriolis-pdk-ihpsg13g2-c4m_2024.10.15-1+28.1_all.deb Size: 308392 MD5sum: 61f7a932815af9abded519a5fe8d19dd SHA1: dd75a6ea4d6ce67cc6af329c26d233896b07353e SHA256: 9cb95cafef97107279d6affb78f7bd631d86611d25c63242affbfe232815ead3 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Chips4Makers PDKMaster targeted for IHPSG13G2 technology. Package: klayout Version: 0.29.9-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 213134 Depends: libc6 (>= 2.34), libgcc-s1 (>= 3.0), libgit2-1.1 (>= 1.1.0), libpython3.10 (>= 3.10.0), libqt5core5a (>= 5.15.1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.14.1) | libqt5gui5-gles (>= 5.14.1), libqt5multimedia5 (>= 5.11.1), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.14.1), libqt5printsupport5 (>= 5.10.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.15.1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby3.0 (>= 3.0.0~preview1), libstdc++6 (>= 11), zlib1g (>= 1:1.1.4) Filename: amd64/klayout_0.29.9-1+9.1_amd64.deb Size: 44192738 MD5sum: 76d3ac13e21657048385d03a70a41621 SHA1: fdefd7c24e9a9b0d85146556575a056656b9381d SHA256: f7ce4ea477fb9a5626af1ed1ae4ba0b61e01c05fa64e3fec4359584bbd62e84a Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://klayout.de/ Description: Yosys Open SYnthesis Suite Package: libngspice0 Source: ngspice Version: 44.2-1+1.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 2 Filename: amd64/libngspice0_44.2-1+1.1_amd64.deb Size: 722 MD5sum: 20e30b1fd94a9b8497b64d99e819ffcb SHA1: 6b342edf32e597aa83ed819f734367159722c2dc SHA256: efa25ef74919eef0ed03d9247484f6cc08af30f4b9192ed4b220119e67233949 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: libngspice0-dev Source: ngspice Version: 44.2-1+1.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 2 Depends: libngspice0 Filename: amd64/libngspice0-dev_44.2-1+1.1_amd64.deb Size: 732 MD5sum: ef368d62b4a57f4fe5ac1d938df963cb SHA1: 806f0038c1314d5a8771b542aab59e9f54e1fa63 SHA256: aeedd3b39a5656d098c16d805eba7363e7f1e4c0430513ea20f3e18df5e3f90a Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. This package contains the NGSpice library. Package: ngspice Version: 44.2-1+1.1 Architecture: amd64 Maintainer: mazher iqbal Installed-Size: 2 Filename: amd64/ngspice_44.2-1+1.1_amd64.deb Size: 742 MD5sum: 9743d5ec02890107ace7f58effcac8bf SHA1: f1e5b0f783853a213d8863f9a5acaa530d7cd396 SHA256: aedeca129c7f632d06cf530e1b328c6a50ab7f415220978d04820fd7212b5982 Section: Productivity/Scientific/Electronics Priority: optional Multi-Arch: foreign Homepage: https://ngspice.sourceforge.io Description: NGSspice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. NGSpice is a part of gEDA project, a full GPL'd suite of Electronic Design Autiomation tools. Package: openvaf Version: 23.5.0-1+5.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 216501 Depends: libc6 (>= 2.15), libgcc-s1 (>= 4.2), libstdc++6 (>= 4.8) Filename: amd64/openvaf_23.5.0-1+5.1_amd64.deb Size: 38609086 MD5sum: 171590a1aca27dcfe53cf7d239c2b8ad SHA1: 51c018678797a6326ab7b8541dc7fcc9ef2ff634 SHA256: 087415d0c6b8c4ca052bc44c450557e3321e513ad9ee533316b0e966b0780107 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://openvaf.semimod.de/ Description: OpenVAF is a Next-Generation Verilog-A compiler that empowers the open source silicon revolution. Package: sv2v Version: 0.0.12-1+1.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 10048 Depends: libc6 (>= 2.34), libgmp10 (>= 2:6.2.1+dfsg) Filename: amd64/sv2v_0.0.12-1+1.1_amd64.deb Size: 1612726 MD5sum: 0834f4bd282cab6d952fc3ba72ffe959 SHA1: abc50fa3f3099153a6208bbefabbc54c4c8f583f SHA256: bf05d7efcd21f434c373b94a4665394cf0983755187e0f98ae651ef47311a55e Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://github.com/zachjs/sv2v/ Description: sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. . The primary goal of this project is to create a completely free and open-source tool for converting SystemVerilog to Verilog. While methods for performing this conversion already exist, they generally either rely on commercial tools, or are limited in scope. . This project was originally developed to target Yosys, and so allows for disabling the conversion of (passing through) those SystemVerilog features that Yosys supports. Package: tas-yagle Version: 3.4.6-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 33492 Depends: libc6 (>= 2.34), libedit2 (>= 2.11-20080614-0), libgcc-s1 (>= 3.0), libstdc++6 (>= 5), libtcl8.6 (>= 8.6.0), libx11-6, libxm4 (>= 2.3.4), libxpm4, libxt6 Filename: amd64/tas-yagle_3.4.6-1+9.1_amd64.deb Size: 6936490 MD5sum: 847fbec8fc9b2d8b4cc85768c9978a34 SHA1: a091565d74d62a6e28a180af4eb40b94dc7031ef SHA256: 3506159431bdbe8ffb715fcdda2d7a6d153153dfa24d6128fe418ce2b69ee0c2 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: The Tas/Yagle STA. Package: tas-yagle-doc Source: tas-yagle Version: 3.4.6-1+9.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 16625 Filename: amd64/tas-yagle-doc_3.4.6-1+9.1_amd64.deb Size: 8233658 MD5sum: 158dcdc758eca14ef97d1a8009e79d6d SHA1: c3340bdd55cad854296fa6fbb677009b6430a3d4 SHA256: e5c9c24b404a24f64f57b0f4bb02b438359a31fbe11bcffe6adc3ef4806e09c3 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://coriolis.lip6.fr/ Description: Documentation & tutorials for Tas/Yagle Package: yosys Version: 0.46-1+21.1 Architecture: amd64 Maintainer: Jean-Paul Chaput Installed-Size: 50329 Depends: libc6 (>= 2.35), libffi8 (>= 3.4), libgcc-s1 (>= 3.4), libreadline8 (>= 6.0), libstdc++6 (>= 11), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: amd64/yosys_0.46-1+21.1_amd64.deb Size: 13720398 MD5sum: d508c6b0ea0f2bdd0d316c92217a4f17 SHA1: 874ea1c2c2ef39f52a28f37acd107b20508cb142 SHA256: 6a9e8a96ef51cb71d32bb3ce45fb509ca918879a62e193ca4653b882b575e130 Section: Science/Electronics Priority: optional Multi-Arch: foreign Homepage: https://yosyshq.net/ Description: Yosys Open SYnthesis Suite