Package: cocotb Version: 1.5.2-c4m.1+6.2 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 1904 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.2), libstdc++6 (>= 5.2), python Filename: ./i386/cocotb_1.5.2-c4m.1+6.2_i386.deb Size: 286984 MD5sum: 4e2311244c44558cc915784b5c742f66 SHA1: e91c976f38d908712e53053f6cff3a3ea23275fb SHA256: c82c6b1e073d83138e6a8ab3b6c3d29c2ea89ee91d748e71f727893bbee3b0ce Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: cocotb Version: 1.5.2-c4m.1+6.2 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 1947 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.3.1), libstdc++6 (>= 5.2), python Filename: ./amd64/cocotb_1.5.2-c4m.1+6.2_amd64.deb Size: 283208 MD5sum: f94da97cadf9a8c2baa629da8feef743 SHA1: 9c5bbc9d8f58e32c4809d0f287aa7e79d762e098 SHA256: 2dc642e9bea50b79aa86b53c95677c852c43a170cb4d2ba9a33230b01204512b Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: cocotb-dbgsym Source: cocotb Version: 1.5.2-c4m.1+6.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 1656 Depends: cocotb (= 1.5.2-c4m.1+6.2) Filename: ./i386/cocotb-dbgsym_1.5.2-c4m.1+6.2_i386.deb Size: 1189312 MD5sum: 2493ddc8b13d19bc60d58409f6345adc SHA1: 9c74e0a79331362a15b93836283852de783d680b SHA256: a6d5f85d9039c450104d7a9437bff91735794c2b0399e7924e19f49abd0ee120 Section: debug Priority: optional Description: debug symbols for cocotb Build-Ids: 082cef27b4afadaad1942463c25ad69e7d20d6c3 087a8b46bdc8b0ee879711ef6b0897a453ea39cf 13a2d738cdaeffe509e89074ec12d6c69ce6f555 1999046fdc5df2eb1bbad4b5d879eb41084572f6 3834498bd004bc717a259327b8009be618b4f689 38f2603fb418fec596f529ee2017f0b242ba4b7d 3b1c1aaad88b2cfb909312e6b2d432c5eec66274 59ce23599ebc9b5d248f333775274102aff0238f 5fc88644f0506399d27425282c130068552f100e 972d94bfef094bd7c306692c65995766e5e0836a b232ed25b0d234a245bc154987ff6d9b57e5c2af d987333ef4991fc0063973294b54ea267f7c3bb2 ea2acfb9359446ade503c9f37f67e276935c1bbc Package: cocotb-dbgsym Source: cocotb Version: 1.5.2-c4m.1+6.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 1768 Depends: cocotb (= 1.5.2-c4m.1+6.2) Filename: ./amd64/cocotb-dbgsym_1.5.2-c4m.1+6.2_amd64.deb Size: 1243668 MD5sum: e4ce8e7bf8982ae84450706e31b25289 SHA1: 67de0035f1701331a2c5913f61b05569ff571d02 SHA256: 038c60eaa066f93d77cc00938d51eb2b979abc8befc10c805d84ea56c4a69b3c Section: debug Priority: optional Description: debug symbols for cocotb Build-Ids: 1de5cd4f00ec53055d41b7db4015e4ba22850c2f 398b26f96ceb16039140c091f03c1f111f35e643 78b4f2a34460fda189c3f08acac5e200e887caf0 7e50579328115484c6ab096fbbdcdd1b9f218c0c 7f8ce289ead9217de3124e4f3195cd5c6a28b99e b18e83013eb03d0b005ece5840ddf81a955063dc b56cc8afdf1a09f00edd2530e211062d0eed0bf5 b78339a239b549a3fb0a08539cf2eb0684a70aa8 b85c0d0be327223b7257c8bee13975a259ac3f73 c56a1e7691b2814cd02aaf385f5169d791ea5148 e1ef8ec7686b1f3fa8e641985ddadb527fcf4097 e54b45954f80ba1be7829b91bb32b1d68ee154fd fe3760f604c90506d620c2db918917f916f7c69a Package: ghdl Version: 1.0.1.dev20210706.git1285cbf-c4m.1+4.2 Architecture: i386 Maintainer: Chips4Makers Installed-Size: 17918 Depends: libc6 (>= 2.3.6-6~), libgcc1 (>= 1:4.2), libgnat-8 (>= 8.3.0), zlib1g (>= 1:1.2.0) Filename: ./i386/ghdl_1.0.1.dev20210706.git1285cbf-c4m.1+4.2_i386.deb Size: 2981448 MD5sum: 1801ce25b1ff28f00e83ca59841d2f2a SHA1: 72448c3afeb51381affbffd2044a226f2bf96c77 SHA256: 03f683a1b3a02bc51958dc6ed1e6a274031e1b687d8e19d4cd837e6981791579 Section: electronics Priority: extra Description: GHDL GHDL is the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources for generating machine code from your design. Native program execution is the only way for high speed simulation. Package: ghdl Version: 1.0.1.dev20210706.git1285cbf-c4m.1+4.2 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 20228 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libgnat-8 (>= 8.3.0), zlib1g (>= 1:1.2.0) Filename: ./amd64/ghdl_1.0.1.dev20210706.git1285cbf-c4m.1+4.2_amd64.deb Size: 3034480 MD5sum: 03716545af8338372a62bb20a28810e6 SHA1: c650d481d28d45e1974957aeecdf39ec89e6caa3 SHA256: fbac827e86eb5eecd567b27a4ef5fbdec3a64d8a2e4379ffecc4ae8a524e3471 Section: electronics Priority: extra Description: GHDL GHDL is the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources for generating machine code from your design. Native program execution is the only way for high speed simulation. Package: ghdl-dbgsym Source: ghdl Version: 1.0.1.dev20210706.git1285cbf-c4m.1+4.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Chips4Makers Installed-Size: 4275 Depends: ghdl (= 1.0.1.dev20210706.git1285cbf-c4m.1+4.2) Filename: ./i386/ghdl-dbgsym_1.0.1.dev20210706.git1285cbf-c4m.1+4.2_i386.deb Size: 2859640 MD5sum: c29002da06dce899ce671d124d04783f SHA1: bbb56b62cbcffdcab5ac4376103fabe00af76f70 SHA256: 118d36624190f6135a01f2ea4e7fe2a5cddc942d1f928f1822ec0be2939c2d60 Section: debug Priority: optional Description: debug symbols for ghdl Build-Ids: 38ab162fa6a5549248e89788c2b4f8e0fc53495a 53287bfed8c123fe93488c523b80213539d9c49b 5efa9c57d6864c50cdb5803e832f7a7778e2443d 9221e691ea56ff8b69fa0c16c36126762b745161 Package: ghdl-dbgsym Source: ghdl Version: 1.0.1.dev20210706.git1285cbf-c4m.1+4.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 4339 Depends: ghdl (= 1.0.1.dev20210706.git1285cbf-c4m.1+4.2) Filename: ./amd64/ghdl-dbgsym_1.0.1.dev20210706.git1285cbf-c4m.1+4.2_amd64.deb Size: 2908896 MD5sum: a036b9155028243a047cd11e97755d70 SHA1: da5b2617a3ee1bbd713c4ec0c081ef3a440126dc SHA256: 9f678a727f71378f26423a1065cc04a50ee32ff1326a5444522afecbd83902df Section: debug Priority: optional Description: debug symbols for ghdl Build-Ids: 3dde817f24e61b973cb27b30f83fde3c7d3e2380 619c99fee68cc9b0079a2553505dc1f692a1f845 631395982cf3401886d1b69ee3f6a0048fca09c0 c91089b2ccd15861ae3482ae171b0df1e2daf46b Package: ghdl-yosys-plugin Version: 0.0.0.dev20210327.git5fad8b9-c4m.1+3.6 Architecture: i386 Maintainer: Chips4Makers Installed-Size: 144 Depends: ghdl, yosys Filename: ./i386/ghdl-yosys-plugin_0.0.0.dev20210327.git5fad8b9-c4m.1+3.6_i386.deb Size: 39520 MD5sum: 7f3af4797c65dd0c7744fadbd376417f SHA1: 7a1cda4da22db8dbcee82e439b4d9d379c9776f6 SHA256: 2854b89f62b22c4ed57658bb558f27129b3df0412a9fd173023c56f55610349e Section: electronics Priority: extra Description: VHDL synthesis VHDL synthesis (based on GHDL and Yosys) Package: ghdl-yosys-plugin Version: 0.0.0.dev20210327.git5fad8b9-c4m.1+3.6 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 133 Depends: ghdl, yosys Filename: ./amd64/ghdl-yosys-plugin_0.0.0.dev20210327.git5fad8b9-c4m.1+3.6_amd64.deb Size: 36464 MD5sum: d0e3a01a026deed4b6ec03075ccd73de SHA1: 7b7b2d8b0bdb906bd2397ef1d58f880b84f7ef82 SHA256: 43dd07d4f3f540b2c2ac0ec3129b07c0bbd4ef4058daca5c190173f59cc6af81 Section: electronics Priority: extra Description: VHDL synthesis VHDL synthesis (based on GHDL and Yosys) Package: ghdl-yosys-plugin-dbgsym Source: ghdl-yosys-plugin Version: 0.0.0.dev20210327.git5fad8b9-c4m.1+3.6 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Chips4Makers Installed-Size: 536 Depends: ghdl-yosys-plugin (= 0.0.0.dev20210327.git5fad8b9-c4m.1+3.6) Filename: ./i386/ghdl-yosys-plugin-dbgsym_0.0.0.dev20210327.git5fad8b9-c4m.1+3.6_i386.deb Size: 508008 MD5sum: bfba90cd5ac59dc831f24f53859b6b75 SHA1: cc2dc84a78d4c0fc51d8fe0c1bef3cabecf80c13 SHA256: 1b3dde47e1b2250a9db773419bbd8060dc7b3c50ccb5952b3288c31cbc60524f Section: debug Priority: optional Description: debug symbols for ghdl-yosys-plugin Build-Ids: 5772c629034e5148a63f98031046b30f2bd8744e Package: ghdl-yosys-plugin-dbgsym Source: ghdl-yosys-plugin Version: 0.0.0.dev20210327.git5fad8b9-c4m.1+3.6 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 559 Depends: ghdl-yosys-plugin (= 0.0.0.dev20210327.git5fad8b9-c4m.1+3.6) Filename: ./amd64/ghdl-yosys-plugin-dbgsym_0.0.0.dev20210327.git5fad8b9-c4m.1+3.6_amd64.deb Size: 526352 MD5sum: 615ac9bcd8a39cb9736140e5c03d48e5 SHA1: 82790747355cdb26afec5e55b12d6f7ab62f00ee SHA256: 1a2557b2db08b951d25329e512e6044d865d763bb06f43a9dee4a2e828a6ba9e Section: debug Priority: optional Description: debug symbols for ghdl-yosys-plugin Build-Ids: 09eb37cd9f579d84266d6cc87088e01f9b86e910 Package: gtkwave Version: 3.3.110-c4m.1+3.2 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 4633 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.7), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libgcc1 (>= 1:4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.49.3), libgtk-3-0 (>= 3.21.5), libjudydebian1, liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: ./i386/gtkwave_3.3.110-c4m.1+3.2_i386.deb Size: 2417708 MD5sum: a2a11a7c61b288d8dba1342d19938ad5 SHA1: 9f4032727b8202fa6452167f862d2f0232fde164 SHA256: a3ee3707cc85cc5d90157d0d813f00884801d1225451f1522f593b6210d036f7 Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Package: gtkwave Version: 3.3.110-c4m.1+3.2 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 4514 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.14), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libgcc1 (>= 1:3.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.49.3), libgtk-3-0 (>= 3.21.5), libjudydebian1, liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: ./amd64/gtkwave_3.3.110-c4m.1+3.2_amd64.deb Size: 2375172 MD5sum: 31af6e0f89b8583c3cf3ec12cc62cdc4 SHA1: b98d212286376b42192d1bf300165f8e9a423b4e SHA256: 8303ab482e6c7e89db666dfaf6ed2c4200dcb29809438623be84ea39919e55b5 Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.110-c4m.1+3.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 5742 Depends: gtkwave (= 3.3.110-c4m.1+3.2) Filename: ./i386/gtkwave-dbgsym_3.3.110-c4m.1+3.2_i386.deb Size: 5579120 MD5sum: d992291828146c28e1937496756d88cc SHA1: 8f9c17e232bc4308a34696a2ddeffdcc39058703 SHA256: f8ffef01f217a95d95f2c99c7d8f220b85f53ad74172209cb7bf1a60223d74de Section: debug Priority: optional Description: debug symbols for gtkwave Build-Ids: 125a2c0b0d36ce9ac6e7a794e53462f1f8f50f90 1544eb9883e9f6cc553253a3fd10d8d08fb7b2b7 2a2ec2edcb596125b29438be007c88cc51f165d2 2b3bf8ff696d5f77c171f0186b7f818ce7cd4d2e 33fbdd050486be2a3e688d3e80776dd5b8472caa 3d2a742ca3059c2ddd9da744d23dbf8480f03d43 40bd32bbdc91d92afe0ae1722d7fb48b69edf62b 52616e60c2aa59e3f04ed3893c3f0f09c7a55cef 76068f7c88154335938e55116980f1dab987b531 933756b67b81cd4023211f43f257f45bd9aaf1e3 9dc0fd3b0b01b972649d909edd18d7b0a4becd12 b6d331401db309902a941e83225b549a4daf57a7 c624bef0a5a2c3311423892312e76b9a331ab916 ce307d6859a2179143ae37315f420dd59f1bdeb8 ed0222aa95cd5d1d893183e9e5e43265b880118d fdfd9d57d1e0e7ef3618dae038819db7864d57fb Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.110-c4m.1+3.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 6024 Depends: gtkwave (= 3.3.110-c4m.1+3.2) Filename: ./amd64/gtkwave-dbgsym_3.3.110-c4m.1+3.2_amd64.deb Size: 5829468 MD5sum: 38f5f5ad845ebb3d97e25ae29280c5ed SHA1: c599e45d72fb5225c2a9e2b8bb29898f9cc3ca9b SHA256: 33c025e1dd58887a020e02e88d132bce6e9420d4ac31ae9bc75f68c8232ac8c1 Section: debug Priority: optional Description: debug symbols for gtkwave Build-Ids: 1fe0260291f805f66d130b7dd7dfa529413df1c9 2a9707254f8f6ef8f0c02118a25d1e49991535d8 2d9311b10201dbd541692f13afe6e77f85b1a13a 4a68c1a1d63da985ccb59628b02fdafe5ca052a9 4d3c1a508d1d13c44e26b6424069a1caf3acad59 5918e524eab1d78336dc476fee1b92cded571ed4 59268a3ae500eed087e6ac57d69922b231498598 82aa2feaa79791af307d6c7a2081d596dc24b528 a05fc3d4d185afe8700e2a78aefd1db14e812f93 a77b5238206434b7b4c013c7ceede6a1e7f56105 afffe2c4162d1fa155647b87423fd153c283c5e1 b1c6bb083744d7b1fb2dc5eaf492e6b5531b17cd c988208790d5ee26465568ef5ea322bc1186abdc da699b26c48e679c9a79576ac403e01c04f5b687 dfc3fda71d06368e8f3a7c4cb441e4d2d37799ab f325adfe5c2c912e818eb7198d74b06e650c1b29 Package: iverilog Version: 11.0-c4m.1+4.2 Architecture: i386 Maintainer: Chips4Makers Installed-Size: 6637 Depends: libc6 (>= 2.7), libgcc1 (>= 1:7), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtinfo6 (>= 6), zlib1g (>= 1:1.2.0) Filename: ./i386/iverilog_11.0-c4m.1+4.2_i386.deb Size: 2004244 MD5sum: cf2dc8b21bd4fc67264cad7bbbffb73b SHA1: 62b3b282540d3066c728d88f47bc3d6b9f1d9361 SHA256: e958282b40b81c8e510c8c2755351390239a904197814da6bbce1f2fb9991996 Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: iverilog Version: 11.0-c4m.1+4.2 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 6571 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtinfo6 (>= 6), zlib1g (>= 1:1.2.0) Filename: ./amd64/iverilog_11.0-c4m.1+4.2_amd64.deb Size: 1909880 MD5sum: 0aa136005d1ed1e2b6a5b79f4e4284c1 SHA1: a8561cc9d5354ce0a494fcc36345168a14186733 SHA256: 24ce1e15bb00c4ba73b9da941c8bf0c1bf5a5fbbc3f46f81cc936c02274142ef Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: iverilog-dbgsym Source: iverilog Version: 11.0-c4m.1+4.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Chips4Makers Installed-Size: 21380 Depends: iverilog (= 11.0-c4m.1+4.2) Filename: ./i386/iverilog-dbgsym_11.0-c4m.1+4.2_i386.deb Size: 20713700 MD5sum: d56902ab6d39ec68c0d9bc7fba998527 SHA1: 371f1c1a7679f6199be62d26272fa6ec3aed4a45 SHA256: 5a5e54eb850de31a25ae9ba046258da76c88b33080d2a5a12798c4c1f9330b9f Section: debug Priority: optional Description: debug symbols for iverilog Build-Ids: 071fea8049927cdd7c88de3d70ebfcb7cb33afc3 10a31575b5e005714242bdb0a264a702b9a95a62 21611fdf26142255235c0d86d1439dbccec06790 32fb8d7f88cc6de5ed9ad0c6ae44bfc40988346d 367bbca043cee498c95e56730588f4d0312cc115 3dfb40f4ee435714e3e28098b3c08f69cc7ddda9 44f1e7498c7212c42a590fe4294ccb14cfdb9ac3 46105c47030907dcfa8708c34e13cc4061ac125e 738f32274b78fd9037e68ade68f7626f1983ba3a 741f95ac425552169bb594de78ee7b114b8c39f9 8134a812358826a21be72525a410a12addfb2aed 8a66e269e34e0a4d1b36288f220b102a4835799b c1f40f18f843cd6f7436294454b23342e333dac8 d6a4e80ca4872fe784860f06dd3ae3fffb8c1530 defb9e824f0e2268ad2a53e8a6b9ee08d0f12768 e817f5ce1e213d50f33115aa664d30d75202fe29 ea73c40e8c28aeaffa5f2abada40d934c65eda49 efbf597a96b63ea46bb69c3171e4c3ba5603ef6c f3520e81396a3a60c33835f619f0f3426a0c9b26 f90a58f07724f50a3dcdac53aee9122658f6d2cb fa457c41a5b32122e5ef116b9d5c050486656cca Package: iverilog-dbgsym Source: iverilog Version: 11.0-c4m.1+4.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 22428 Depends: iverilog (= 11.0-c4m.1+4.2) Filename: ./amd64/iverilog-dbgsym_11.0-c4m.1+4.2_amd64.deb Size: 21626960 MD5sum: 3a37c5fbca44d7ce979efcb13f88fe9a SHA1: b50e9f03fdaff2b9285eedba65976057f6f26206 SHA256: 6e03aab3b482e411704bee922f443797e2508a2f567b65fe099a4018f4ae6ce0 Section: debug Priority: optional Description: debug symbols for iverilog Build-Ids: 02459086649bb41654a1f1a757ecf6786eae0c96 1779aea81a06f36a1b627428acf652b17484a668 1a2b368a9446aeea9e84fdddafa89e681665f35b 379099f33d436cea234490e07def131efd6f1aea 3aa3bf073338fe84ba91ac6fc69ee4363ed48baa 44abba42834c148d10cb66bdfc658e16e52fbf4f 49ac0a407099e282e5b986c8f705563430e1bcc7 4cfdf63867f626f84de0fde27c67682d6891821d 5315988fc4d8177c3ffb9c3b840200f891a56c13 557d572604ef95b4a0ca761fe2e9541b2585964e 5ded03d8103fa1d2a7d31a52338319ab663c1694 69177a3b48c521fa0e89f15cb5de202c66cd31b7 6920690356527eb26d3587a98f9e43b4a9fdc66e 6eaca76b7c74f985417f24448585d7bb8232c5f3 75f4dd7c6c1658f38fe046cf4f35437e1ea090d7 77a5973be2019a48fbab45360ce357f8907deff3 889a784c5de0cb6db2a119d51354b36b2b2fa007 9909c34bcade119927fe0dd65227af059348e68e b4fa7e0b9bec07c0a068c01ed055af965ff53f41 e8628869f65fb75f022e03467d7030e502ee30b8 ff1f986e29836cb8b258c332b249efc369df98cd Package: klayout Version: 0.27.2-c4m.1+5.2 Architecture: i386 Maintainer: Matthias Köfferlein Installed-Size: 176743 Depends: libc6 (>= 2.17), libgcc1 (>= 1:4.2), libgl1, libglib2.0-0 (>= 2.12.0), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libpython3.7 (>= 3.7.0), libqt5core5a (>= 5.11.0~rc1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.9.0~beta3), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.5.0), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.11.0~rc1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.5 (>= 2.5.0~preview1), libstdc++6 (>= 5.2), zlib1g (>= 1:1.1.4) Filename: ./i386/klayout_0.27.2-c4m.1+5.2_i386.deb Size: 33202616 MD5sum: ab22ee587ca67962f21d9dcfe2629e43 SHA1: 741b76ba5615a2ef1b419b1c15c75677294b972d SHA256: 37a4fef022cab5119b4722fee4cb2e9735daf254b7d3cb3b9393ee78d4bba658 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more. Package: klayout Version: 0.27.2-c4m.1+5.2 Architecture: amd64 Maintainer: Matthias Köfferlein Installed-Size: 173755 Depends: libc6 (>= 2.17), libgcc1 (>= 1:3.0), libgl1, libglib2.0-0 (>= 2.12.0), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libpython3.7 (>= 3.7.0), libqt5core5a (>= 5.11.0~rc1), libqt5designer5 (>= 5.6.0~beta), libqt5gui5 (>= 5.9.0~beta3), libqt5multimedia5 (>= 5.6.0~beta), libqt5multimediawidgets5 (>= 5.6.0~beta), libqt5network5 (>= 5.5.0), libqt5printsupport5 (>= 5.4.0), libqt5sql5 (>= 5.10.0), libqt5svg5 (>= 5.6.0~beta), libqt5widgets5 (>= 5.11.0~rc1), libqt5xml5 (>= 5.1.0), libqt5xmlpatterns5 (>= 5.9.0), libruby2.5 (>= 2.5.0~preview1), libstdc++6 (>= 5.2), zlib1g (>= 1:1.1.4) Filename: ./amd64/klayout_0.27.2-c4m.1+5.2_amd64.deb Size: 33172232 MD5sum: 88a0fb34fa192894ccfd81cd14404cc8 SHA1: da4419c5806c89b29b44dd4a013504a797c4e138 SHA256: 51ba62a57eb183e713450568a64a04b40c92836ba6a735256d5faf076a76b822 Section: electronics Priority: optional Description: Chip mask layout review and edit utility Klayout is a graphical viewer and editor for a.o. GDSII, OASIS, CIF and other files. Its features include net highlighting and XOR-ing of two layouts, scripting capabilities in Ruby and Python and much more. Package: klayout-dbgsym Source: klayout Version: 0.27.2-c4m.1+5.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Matthias Köfferlein Installed-Size: 126 Depends: klayout (= 0.27.2-c4m.1+5.2) Filename: ./i386/klayout-dbgsym_0.27.2-c4m.1+5.2_i386.deb Size: 8520 MD5sum: fa997fed0f0f8e9e25281bd2a63fddc7 SHA1: 3b93b8014edfb2f552a0b21cc5bffbbf907d7617 SHA256: 543df28814bfe641729935799cb05b3c8c1ad5fb6f45ca3a5be346740b20f6e0 Section: debug Priority: optional Description: debug symbols for klayout Build-Ids: 2745e499c89d079eb526026c83a0ad8f6b65aed9 27a39c719cf7a6bd3b03718563dd410bae391832 341816e564cdabea3a29995c937abbdc2b299be0 3493604ae64fdf8e3e1767c595c742558970f81c 4940ee49f092190ec03e66601727b903807f47b2 4a4efa20b0e573e635e6cb18df3d99572b58fe0c 66437fcf7fa7e4d4d577e10aeaa4d9baa6f80884 6ac351314e66c99063e506846cd9929aa0a8563d 80c2ba8b8b048bef21649e3dfa6a51602c59090b 9460166d58fedd48bd6526db42fec4b3b2c59677 b1b8112a691772e7d3f9a04468c346b9850d9ee9 b8b2a46452c5de9b844b231bbe06c50e8f20db87 bcbea34ab6b8dfbd7a88d94acc6069a13b3e798f d3c5be79ca06073eb2c20f5137408e1fe392319d dee34107086e44648e22fcbb7ffbd3b38e0c3de7 e2c439c6fa94de3196635a5b2729c89ab2d214e7 edd9dee08a3fb4ef71f17e0a4199a8c484ff43ee Package: klayout-dbgsym Source: klayout Version: 0.27.2-c4m.1+5.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Matthias Köfferlein Installed-Size: 145 Depends: klayout (= 0.27.2-c4m.1+5.2) Filename: ./amd64/klayout-dbgsym_0.27.2-c4m.1+5.2_amd64.deb Size: 8468 MD5sum: 3b76163fc618336ce54c97a50102f43d SHA1: 27a02d538a51080134f58cf77f2ca9f689f4e09c SHA256: a378cae5a9cc40f85582bce82825524c4fd1e34918ee366f1a4fec1f54423687 Section: debug Priority: optional Description: debug symbols for klayout Build-Ids: 1574497c3f885ef93b1c77869c80abd0cebab192 2277661c327665f501d28b708c1fa0f5c3bdcce9 275cb4f4eee2ed2a43cdb1b1f25ea81f279740bd 2a68bba57c4c9a521a354bd517d3b6d2a35d92ee 2d1b9824ada950f73f342bab94f498c9021e6c13 6144a608d68dd76b37c131630fe7bb271dbbffdc 67ab2a27b33b5ead4febac6b685cb52f61e79eba 6eecb11aeb5e151fbcd6899bbcaaf3bdfa66bd41 9ba64bf99664dbca0b23b9dea6e935c0b0421082 9bf245942471e7a066d5045cb0d3c9749c63aafb 9c67d3d48b467f30ad9ee5a629b67137a6b87516 a0dc4f9e3c78e717070ca3c19b6e35c5650b64f0 a18f8f957731b39d66b78fc9c9cc73e7ad2af339 a25ba3c8ba4205a83ca72499d16ad7966525827a a9e68a9b90aae3e40b64acb19838704933b54da4 b1de501fc6efd4bdf678b4c756919d298299c46b fbc21e176fe47ba5b60a34f9dae59e7524cd28c6 Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 703 Depends: libc6 (>= 2.7), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6 Filename: ./i386/netgen-lvs_1.5.133-c4m.0.0_i386.deb Size: 177168 MD5sum: 3cda4b10578ee8f915625a56769e3b0c SHA1: e4de33168049109ea9eeb1d37edcb4847ad9c1bc SHA256: 88f106ab1d42f7ada8f4c3bfa96dddaa17712173ff2f26e6220144cb1fd5e940 Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 737 Depends: libc6 (>= 2.14), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6 Filename: ./amd64/netgen-lvs_1.5.133-c4m.0.0_amd64.deb Size: 191464 MD5sum: 0041d6fa6d045cd1e40a3cdeea00b6d1 SHA1: 2bea4dab1810438ddb986d64470ffcf5c3530a9e SHA256: f7f1bd8bb62feed33ca803f1844e6b27916f562b1ca4f6f57af0a6ff503c0a0e Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 372 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: ./i386/netgen-lvs-dbgsym_1.5.133-c4m.0.0_i386.deb Size: 343688 MD5sum: a75a00f1b05d8b9b809905c7fd68436f SHA1: eb3486cb733dd83a2628d27f0298b50c9bc1d76b SHA256: 2ad03cd8e0f062449f35d6913e7d95a143059deab278ef46422cce8387c0136f Section: debug Priority: optional Description: debug symbols for netgen-lvs Build-Ids: 0a7fd422cef8b30dcaf0e06f3856b29ab2f15932 6b784523297f6393b7032928367a50226c8ac577 Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 409 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: ./amd64/netgen-lvs-dbgsym_1.5.133-c4m.0.0_amd64.deb Size: 375188 MD5sum: 51a998e510bff8f52bcafbcdda7777c7 SHA1: 34862b50927803340a7e6f04b065dfd780adbbf5 SHA256: 76b59d405a958ac8dc52c67f81eaa62a5b8648cf2bbfa7bc77dbd8beac066d2a Section: debug Priority: optional Description: debug symbols for netgen-lvs Build-Ids: aed8181407da822a7ea6870cb267c22103c51976 fc479057a32c13e201ac62eb81dc867dddb36afa Package: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 11188 Depends: libc6 (>= 2.27), libgcc1 (>= 1:3.0), libstdc++6 (>= 6), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./i386/opensta_2.2.1.dev20210719.git37cd1fc-c4m.0.0_i386.deb Size: 1575272 MD5sum: 71ea83cf0fcae41bee0d883c4dd8e28c SHA1: b499eb7a2ce3b5f81f6c9f27267b19236b39af25 SHA256: 6ac5432861d69ff0081999ddc4d819a87f9f752ca91655d3397975d5d4b5851d Section: electronics Priority: extra Description: OpenSTA is a gate level static timing verifier Package: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 11985 Depends: libc6 (>= 2.27), libgcc1 (>= 1:3.0), libstdc++6 (>= 6), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./amd64/opensta_2.2.1.dev20210719.git37cd1fc-c4m.0.0_amd64.deb Size: 1580660 MD5sum: 505748dc59eb19fcd420bc863647bc41 SHA1: 4736dc12b5aed1fdb81cf6909569b7eeec100b33 SHA256: ea26068049f583ee1d6aac4e25e5d072d5b3d10dfdcf06e52beb934056eb6666 Section: electronics Priority: extra Description: OpenSTA is a gate level static timing verifier Package: opensta-dbgsym Source: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 15319 Depends: opensta (= 2.2.1.dev20210719.git37cd1fc-c4m.0.0) Filename: ./i386/opensta-dbgsym_2.2.1.dev20210719.git37cd1fc-c4m.0.0_i386.deb Size: 14826184 MD5sum: ccfb81b442a94250a86c46984bd44c5f SHA1: 7b0a18be7e2744662e708115c31237027c7f972f SHA256: 1c2005d45af8b0ab67c67cab5a75fd493f38ef5188e9a8a36f7a433bee8a6209 Section: debug Priority: optional Description: debug symbols for opensta Build-Ids: a32a1ae48ca4e4082e62a92b5ca5433daa2a70b9 Package: opensta-dbgsym Source: opensta Version: 2.2.1.dev20210719.git37cd1fc-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 16041 Depends: opensta (= 2.2.1.dev20210719.git37cd1fc-c4m.0.0) Filename: ./amd64/opensta-dbgsym_2.2.1.dev20210719.git37cd1fc-c4m.0.0_amd64.deb Size: 15433636 MD5sum: 9c31c89a7b93dd8fb69405d1f3e3d34a SHA1: 96f93bef5e0ff66a917b85eee07402cfdac06f28 SHA256: 0627810783a26900900b57b85bdc27d47f110392b7f9fe8ac130db5e24b99726 Section: debug Priority: optional Description: debug symbols for opensta Build-Ids: 6db2a69d7f5bf23966344b622819e86906d06daa Package: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 16769 Depends: libc6 (>= 2.28), libgcc1 (>= 1:4.2), libstdc++6 (>= 7) Filename: ./i386/opentimer_0.0.0.dev20210719.git8fb20ff-c4m.0.0_i386.deb Size: 1558240 MD5sum: 3ea2f2f6e035879aa6180e2739ae8784 SHA1: 306643a60eb89a8607ec4a9bc2c855515fa2abe3 SHA256: 5075319e30510af041e7c2f3077d1057f3e49d64758e508fb43417f299aabc6c Section: electronics Priority: extra Description: A High-Performance Timing Analysis Tool for VLSI Systems Package: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 19562 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libstdc++6 (>= 7) Filename: ./amd64/opentimer_0.0.0.dev20210719.git8fb20ff-c4m.0.0_amd64.deb Size: 1536476 MD5sum: 7f685ae42904b918cad84a3716cd89c4 SHA1: a534dc96e2f1c36c7237f2e401a69113751560a9 SHA256: ec74a5eed382698eda555494394dd56d9c910421303e1a65dcbf86440fec51c4 Section: electronics Priority: extra Description: A High-Performance Timing Analysis Tool for VLSI Systems Package: opentimer-dbgsym Source: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 36130 Depends: opentimer (= 0.0.0.dev20210719.git8fb20ff-c4m.0.0) Filename: ./i386/opentimer-dbgsym_0.0.0.dev20210719.git8fb20ff-c4m.0.0_i386.deb Size: 36135908 MD5sum: dd93f3bc461679de6f5c446feac5b2fd SHA1: 567ca94594d674cf7bf2dd62c11512deb2c8e9eb SHA256: 9482bc12f5a8217a66430f10090d2e4f6622c2a84c14c163a61a5eec80946821 Section: debug Priority: optional Description: debug symbols for opentimer Build-Ids: 544f13f501e43f9ed2fbedc00f898a060ef16a1c Package: opentimer-dbgsym Source: opentimer Version: 0.0.0.dev20210719.git8fb20ff-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 37524 Depends: opentimer (= 0.0.0.dev20210719.git8fb20ff-c4m.0.0) Filename: ./amd64/opentimer-dbgsym_0.0.0.dev20210719.git8fb20ff-c4m.0.0_amd64.deb Size: 37398128 MD5sum: 340204d8ba7b8d4c6b1c4a41c4d7bed0 SHA1: 43bde23ee18ab44b51fc0d37ebb28c0528f4faaf SHA256: b41a33c7ead39d11fa44a33dffeef4fdb3900c16651e119f8066adba65e8925a Section: debug Priority: optional Description: debug symbols for opentimer Build-Ids: 575379e61cb1c93fde2572a7dffedcb2b5810b76 Package: verilator Version: 4.106-c4m.1+6.2 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 17545 Depends: zlib1g-dev, libc6 (>= 2.28) Filename: ./i386/verilator_4.106-c4m.1+6.2_i386.deb Size: 4073264 MD5sum: 47995b4b30dc69899b5b2657ec7337b7 SHA1: 57d267592c0fed1e546cca38775cfbf315e3f735 SHA256: 5d370a5840453c43aa763329dc1763469b1e471a221fa7e4892d26f1ffe93810 Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator Version: 4.106-c4m.1+6.2 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 19308 Depends: zlib1g-dev, libc6 (>= 2.14) Filename: ./amd64/verilator_4.106-c4m.1+6.2_amd64.deb Size: 4201676 MD5sum: f4a987f73070bd73485f02af6d8b8125 SHA1: eb40ea34d0dc2b0651dbff77d364881875dfe649 SHA256: b50d259eb99063117950e8e1150c95f20da67add84373348a144f53bad1feee9 Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator-dbgsym Source: verilator Version: 4.106-c4m.1+6.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 98228 Depends: verilator (= 4.106-c4m.1+6.2) Filename: ./i386/verilator-dbgsym_4.106-c4m.1+6.2_i386.deb Size: 96168944 MD5sum: 7269705346e81096e4bc9457ab2b51e8 SHA1: 90e08aafae049c74ed0d3fcf17d2feac364e29b9 SHA256: 4c76559bcbfd87305e9445ad92cb6ed3153469500071b83c0f5e8c3c8000679f Section: debug Priority: optional Description: debug symbols for verilator Build-Ids: 5a2ecc6a998b811ff3ee757598ddedaca0ebeaa5 e0caf0a78fc11faa88f5f9ce29d85a0324c5d1e8 fa887a8b208728072a29a71e707796293d37fc78 Package: verilator-dbgsym Source: verilator Version: 4.106-c4m.1+6.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 104431 Depends: verilator (= 4.106-c4m.1+6.2) Filename: ./amd64/verilator-dbgsym_4.106-c4m.1+6.2_amd64.deb Size: 101548480 MD5sum: 14752085afb2aeaf4404f033d458f883 SHA1: 17f1bea26f2757d6d730d5e1aa75be9baf7432c4 SHA256: f54002434bfecca62f6093b33242cbdad060e7c12a24ba463e42c11b7081046a Section: debug Priority: optional Description: debug symbols for verilator Build-Ids: 8538f4c55d9b6a3c2a1f3a1a962dbddca5390c17 d78975a4f547e96fa64abae6c90b128ecd7cc801 dbbb47765f495bfe1e7d1ab0966a1f504a2e5d00 Package: yosys Version: 0.9+4081-c4m.1+6.2 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 43001 Depends: libc6 (>= 2.27), libffi6 (>= 3.0.4), libgcc1 (>= 1:4.2), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./i386/yosys_0.9+4081-c4m.1+6.2_i386.deb Size: 11322340 MD5sum: 896f175824932ea0571281ab75a72670 SHA1: a2c20c05e510e3334c9b4ee78774ef3d85fd6e86 SHA256: b8b070c44316c543e5719cf989329bf559aa864441efaaccbb93885f63f40039 Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys Version: 0.9+4081-c4m.1+6.2 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 38460 Depends: libc6 (>= 2.27), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./amd64/yosys_0.9+4081-c4m.1+6.2_amd64.deb Size: 11051224 MD5sum: d9ef89586b67c1435be2fc2fe0703bd9 SHA1: 49f92b8e44c821eabd3e3f1db0e8061efb0ad872 SHA256: 0b1165ec7ca825b5e136406c6a5b95b95788cebddd5cf1b51296a2bfa40f5b52 Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys-dbgsym Source: yosys Version: 0.9+4081-c4m.1+6.2 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 5262 Depends: yosys (= 0.9+4081-c4m.1+6.2) Filename: ./i386/yosys-dbgsym_0.9+4081-c4m.1+6.2_i386.deb Size: 393392 MD5sum: 32ed6c432f640f3075ca6ee87dae4cef SHA1: b29221cec11c12a2eca8a70b767815090ab9b7c3 SHA256: fe40183ba8eaf46d00e7505b3aa1b9ad8c3607e4c7997106be52e9e9ca50bb85 Section: debug Priority: optional Description: debug symbols for yosys Build-Ids: 23b40194d939cbc65bc23ad2465fdb439cb71f5a fcf4cdc73c48c4660c0327229aaa84f2118f4f7c Package: yosys-dbgsym Source: yosys Version: 0.9+4081-c4m.1+6.2 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 5682 Depends: yosys (= 0.9+4081-c4m.1+6.2) Filename: ./amd64/yosys-dbgsym_0.9+4081-c4m.1+6.2_amd64.deb Size: 396652 MD5sum: 42072298bf3d448ec17ea85d35a760d2 SHA1: ad2a4c4025710d2f13d70b52ccc0a6a5daf438e2 SHA256: bf16983d0e6f30c5cc84259a9bdc394b4fadcf11af035aafdfd71001478d346a Section: debug Priority: optional Description: debug symbols for yosys Build-Ids: 4358fc810c5b8b9a581cdaf829a5fd937b70207c c86bd67c79a887e7a4376dd06e65b109914076ba