Package: cocotb Version: 1.2.0-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 1279 Depends: python Filename: ./amd64/cocotb_1.2.0-c4m.0.0_amd64.deb Size: 199330 MD5sum: 869b0b4dd631c9c7f393e07cf3ea9e4f SHA1: 68c604a07f3b97e21a5a7b7b64d41992614d9849 SHA256: ee39eff071efb27a99ed66876dd2a524ca09e1fa9af14db1f36821b0efcaded2 Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: cocotb Version: 1.2.0-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 1279 Depends: python Filename: ./i386/cocotb_1.2.0-c4m.0.0_i386.deb Size: 199326 MD5sum: 02956f044a48178fdfc668ec44ebd3e0 SHA1: 3dd5539f144853c14225d1383a235ffcf716cd84 SHA256: 38c819bf2e49bcd608632224d779172e625596d5171c35b75ed38676c4bc4b7b Section: electronics Priority: extra Description: COroutine based COsimulation TestBench cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. . cocotb is completely free, open source (under the BSD License) and hosted on GitHub. . cocotb requires a simulator to simulate the RTL. Simulators that have been tested and known to work with cocotb: . Linux Platforms * Icarus Verilog * GHDL * Aldec Riviera-PRO * Synopsys VCS * Cadence Incisive * Mentor ModelSim (DE and SE) . Windows Platform * Icarus Verilog * Aldec Riviera-PRO * Mentor ModelSim (DE and SE) . https://cocotb.readthedocs.io Package: graywolf Version: 0.1.6.191014-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 1172 Depends: libc6 (>= 2.14), libgsl2, libx11-6 Filename: ./amd64/graywolf_0.1.6.191014-c4m.0.0_amd64.deb Size: 419108 MD5sum: 5c55a27da40e4765a15ea5f1af34c6d7 SHA1: 46c4e1acf76a1093fc0b5b43b0a087748ee5e062 SHA256: 6cf1457b200ab557cf4af3fee2d17342b8ee54789a7902d306341fd73a181e49 Section: electronics Priority: extra Description: Standard cell placer forked from TimberWolf graywolf is used for placement in VLSI design. It's mainly used together with qflow. . http://opencircuitdesign.com/qflow/ Package: graywolf Version: 0.1.6.191014-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 1189 Depends: libc6 (>= 2.7), libgsl2, libx11-6 Filename: ./i386/graywolf_0.1.6.191014-c4m.0.0_i386.deb Size: 432670 MD5sum: b076e21ff713da4fefdc405a0dc545f6 SHA1: ab5c7514932d748b3dd55731bc523721d14a0f45 SHA256: 2da3efd4b378d02a4c5e42dd77f60a47f9407d0aafba0d1605f18b86e5868618 Section: electronics Priority: extra Description: Standard cell placer forked from TimberWolf graywolf is used for placement in VLSI design. It's mainly used together with qflow. . http://opencircuitdesign.com/qflow/ Package: graywolf-dbgsym Source: graywolf Version: 0.1.6.191014-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 999 Depends: graywolf (= 0.1.6.191014-c4m.0.0) Filename: ./amd64/graywolf-dbgsym_0.1.6.191014-c4m.0.0_amd64.deb Size: 837026 MD5sum: b67d87d83162a02fd0b96e44a9d6ca20 SHA1: b31f786e4f6f5c3c35f05bb5dae8fc6885e4a4e4 SHA256: 668ed3b26cbb7dc1428adb43d96f635bdb659c900138853d1512c0b49e35e353 Section: debug Priority: extra Description: Debug symbols for graywolf Build-Ids: 46391022eaba9d922d59c5a00da4a46ecd713720 4b338779307d5c419db300b86b9ba74146ae4430 5166d35ed538f9bf388af13f36a9a71a6cc76b22 5295658742095c1c692f4b466926cab45446ad33 6c5c6c7681f9196e9fdaece88a4a74a037b6febc 6f81d206bfbac5425214126eee038cc6ade9ba8e a42e05315d2775de717bb5187ac8e12e37e8b63c d2f4448683f6133942804efdc3212574c8cc339d Package: graywolf-dbgsym Source: graywolf Version: 0.1.6.191014-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 895 Depends: graywolf (= 0.1.6.191014-c4m.0.0) Filename: ./i386/graywolf-dbgsym_0.1.6.191014-c4m.0.0_i386.deb Size: 758610 MD5sum: bdf8f32f26b971880f48954bfbc8d206 SHA1: a5d493fdf2201ff6740711915c891859a07845e3 SHA256: 5475936d1b5b6af19bd652d072e6b537f1f6cddbc398caa86b9980ed6bb48063 Section: debug Priority: extra Description: Debug symbols for graywolf Build-Ids: 2d77a51876b2fd07cffa5d620458b7417007bc45 390b0e2333dbff8d2722cb91b5ede93e3ff07200 497e1fcad4ad672bc725d0889d0b0addd790f64a 5fa76b8d347628d4ad8e1bb19f35462e6b9b76ad 674c256c699f2dc9124cad04ea57d4bb18668277 b420b46fc8e3bdf3fa2e5ae1419e7b7e38c3b1fd bcedd53e0005996b05450efdca8f02bc297f1dc4 e8c2f1d58e7353a74f41960a42edad6b875bc816 Package: gtkwave Version: 3.3.103.dev.r1543-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 4520 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.14), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.11), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:3.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libpangoft2-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: ./amd64/gtkwave_3.3.103.dev.r1543-c4m.0.0_amd64.deb Size: 2406384 MD5sum: 0e705cf35f4478f380e69911a58a15e8 SHA1: 5979e2001740260653c10b5e474854d957bda788 SHA256: ec2203c732fa99840e797fe288b72d391e1b889bdc54a96844af045a06eb02cc Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a waveform viewer that can view VCD files produced by most Verilog simulation tools, as well as LXT files produced by certain Verilog simulation tools. Package: gtkwave Version: 3.3.103.dev.r1543-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 4628 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.11), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.11), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), liblzma5 (>= 5.1.1alpha+20120614), libpango-1.0-0 (>= 1.14.0), libpangocairo-1.0-0 (>= 1.14.0), libpangoft2-1.0-0 (>= 1.14.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), zlib1g (>= 1:1.2.0) Filename: ./i386/gtkwave_3.3.103.dev.r1543-c4m.0.0_i386.deb Size: 2453948 MD5sum: 4e32432c327f46357608da17b96b06fd SHA1: d09f3312cfa0d6c9d4d832e8fdfe78bfb8267a87 SHA256: 484c942a2fc16826c4cbd7796bc247a3c2907b5ef03c4d2587fdd65ee231dad9 Section: electronics Priority: extra Description: Waveform Viewer GTKWave is a waveform viewer that can view VCD files produced by most Verilog simulation tools, as well as LXT files produced by certain Verilog simulation tools. Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.103.dev.r1543-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 5663 Depends: gtkwave (= 3.3.103.dev.r1543-c4m.0.0) Filename: ./amd64/gtkwave-dbgsym_3.3.103.dev.r1543-c4m.0.0_amd64.deb Size: 5480282 MD5sum: 0d3a55ebc9274219db2a1c358773b7c7 SHA1: 82a01b62445775731832f40a95ad179b34d9ea91 SHA256: ad2cd39226756232c46790fdffc444b2598155f191ab719518050fc4736a1611 Section: debug Priority: extra Description: Debug symbols for gtkwave Build-Ids: 05615811c15b1d7f217397d840a6a4fc2160790f 05dca4701b82cce41556da97c53829b25bc08ead 0b0c25c984d040b848a47a6dcbf8e0315b3b578e 2de660f5c74b81b8787825cc6bbb08e0e1d0f00b 4bffe9339280f3fff14f5f7769ba70f35ded2c42 4cc63fa6b5f525a7aea568ef82918c2a161b180f 5a5022ffbbbad96e9b80b9e2c68fb8a62781e0be 5b04cf19d15ff66281578756da4c0278eca2142d 7503e9b6ff5efa83265ac5e23b119517eb0bde22 78d90fbfa1bbb75f8af5c0aa685a6703c7a1e5eb 86a2329337c894bc0d143d724bc879dfe7be2c53 9720e88aa78a80468f64faa50af131bf95369c32 a9a939ba56ca497b3d4d6c9883094e1de27576cc bc7cc25d7f507b247165e5332c819426ef79f410 e544ba2ab6ff99868b8f1dae3099eb4481702705 ee52d8e615c8436a2cba09fe2912cc73b73f1b8e f19c02072ab09d066eeb77d81002465619f1901c Package: gtkwave-dbgsym Source: gtkwave Version: 3.3.103.dev.r1543-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 5413 Depends: gtkwave (= 3.3.103.dev.r1543-c4m.0.0) Filename: ./i386/gtkwave-dbgsym_3.3.103.dev.r1543-c4m.0.0_i386.deb Size: 5284974 MD5sum: 6cfbfc969f07f62c0dd085002d76c406 SHA1: 115a7b2f5e7fab1d687230831993ca50c9f6075e SHA256: 4b0e015399e11404f95039873187917442301e783cf475d15d513c1baff676da Section: debug Priority: extra Description: Debug symbols for gtkwave Build-Ids: 1dcf00939f1953e7a64a46b59053ac399bbcad5c 4c86f417278183eae212de45758034678a90578e 563e79f878d09126258bb77e809f6a56a6f8eeab 5a6e79b422bf6d256141019b9fae08616dda73a3 5be99bef9f46c77fe11347d482ce9cda380e36dd 68c69b8f376bb62541ad84753e0bb83472ba5fd4 839ce18537add574cbbdba45296951eaf26167ae 8f1447be9753f511f302ca0cdd39fc894f75d061 a56ad1ade33203d6e13a6839ba02afabc79e2a0a b3faff941eca298027bd1d5351f7a795cd6cfce6 b8f24244ba25a8fa04da665edeae3803293eec49 ce7ea4c243ef932df6c0a068d60f6d60ba0c9497 d55b3683642953f9eed4bbbeac01c7dd4c451c54 e3a8e1457d9fb92ce9425b08d783fe78a45dd515 e41aac4e1b2ae18fb25bf72cde7472fe5699e62c e4ca8485ede100a367d8b28b64e235b9cf664ce4 e8ac8fc471939c285e8e17d798d2e00474a29383 Package: iverilog Version: 11.0.dev20191104.gita621fa4-c4m.0.0 Architecture: amd64 Maintainer: Chips4Makers Installed-Size: 7 Filename: ./amd64/iverilog_11.0.dev20191104.gita621fa4-c4m.0.0_amd64.deb Size: 1126 MD5sum: e03543da16379abf127e0c1e05dcacad SHA1: 7997665eabc7463b5295e7f7379d6212777faa12 SHA256: 14b969a3f453063304ade2087649dc48ef30fc2d250e37a053edd46d1c69d7ed Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: iverilog Version: 11.0.dev20191104.gita621fa4-c4m.0.0 Architecture: i386 Maintainer: Chips4Makers Installed-Size: 7 Filename: ./i386/iverilog_11.0.dev20191104.gita621fa4-c4m.0.0_i386.deb Size: 1124 MD5sum: 4df683e256be402f63e3f88cfe55f2fc SHA1: 9450ad969c34e97e53c97f7332c0f7ff01e76455 SHA256: 7853c461263a797a8ddb0b808f69eb77c1cff7ac07ee76bcb12d484016c5c020 Section: electronics Priority: extra Description: Icarus Verilog Icarus Verilog is a Verilog compiler that generates a variety of engineering formats, including simulation. It strives to be true to the IEEE-1364 standard. Package: magic Version: 8.2.144-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 2618 Depends: libc6 (>= 2.2.5), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0) Filename: ./amd64/magic_8.2.144-c4m.0.0_amd64.deb Size: 965764 MD5sum: f39918888b2863cba5d684ad25f1fd59 SHA1: e3cb3869acafc96f8e72f25007dc47ad43c10819 SHA256: 11a77ad6fde3d8de25ecfc192d0409e618b8833a104c5bf013026ce7c3b73be6 Section: electronics Priority: extra Description: Magic detail router for digital ASIC designs Magic detail router for digital ASIC designs . http://opencircuitdesign.com/magic/ Package: magic Version: 8.2.144-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 2616 Depends: libc6 (>= 2.1.3), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0) Filename: ./i386/magic_8.2.144-c4m.0.0_i386.deb Size: 966188 MD5sum: 10497f51d473ac0c390cfd3cf57f0f13 SHA1: 3b4c56adf778fde581eea188dccd71d8488e52c5 SHA256: c67077fc8a68d0919e50faea52c7ecc3660fc7d6abbc0fd6a7aa31682298b9b6 Section: electronics Priority: extra Description: Magic detail router for digital ASIC designs Magic detail router for digital ASIC designs . http://opencircuitdesign.com/magic/ Package: magic-dbgsym Source: magic Version: 8.2.144-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 80 Depends: magic (= 8.2.144-c4m.0.0) Filename: ./amd64/magic-dbgsym_8.2.144-c4m.0.0_amd64.deb Size: 61186 MD5sum: 387cb5e43eb7f91d46a20f990a90c096 SHA1: 2cfeea53ee1953960d2a1df808944ba5aec7400c SHA256: 2e3cdbaa44db8c2b3e8657f93d6eece294302f4123a57e89264aa2c0dafe0302 Section: debug Priority: extra Description: Debug symbols for magic Build-Ids: 706e26b76f9d48ba6d54117ca04395844dc8cc28 da470e5b14deb372f487a4881bb547d9742befcb Package: magic-dbgsym Source: magic Version: 8.2.144-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 76 Depends: magic (= 8.2.144-c4m.0.0) Filename: ./i386/magic-dbgsym_8.2.144-c4m.0.0_i386.deb Size: 60936 MD5sum: f2b6f674cc9e293f01618f1890f52cf0 SHA1: b9842a9d5da02725a84e268f6e987ea224d961e0 SHA256: dbe14cb82b00817d3b31c71250f3d9dca8655c148f4f69bf460aa4ec6dd1d29f Section: debug Priority: extra Description: Debug symbols for magic Build-Ids: 66d812c4be07c64e97e786224ac1a7ba4befed32 7d561423636bd88a5aa8f68dff268f464d82a351 Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 725 Depends: libc6 (>= 2.14), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6 Filename: ./amd64/netgen-lvs_1.5.133-c4m.0.0_amd64.deb Size: 191858 MD5sum: e54bf2646a04ea3552d1f9f7704a8880 SHA1: 52f07647d44806787fc094ba6b62f5baeda1eaad SHA256: 52444d2f39c18c502f82f70834e64ebb55b52eca809bff1202a17ee0a2e7f031 Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs Source: netgen Version: 1.5.133-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 691 Depends: libc6 (>= 2.7), libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6 Filename: ./i386/netgen-lvs_1.5.133-c4m.0.0_i386.deb Size: 177174 MD5sum: ccf0e7365ffe8af8cdd81774cf79bf15 SHA1: 3f7642534031c0326d0ca08ad16f53f53f8817a4 SHA256: c88e175de6dfcb23606548c26f0b3b2a1f9f5ef9b0ff2b6d26ed92b2e4a4b999 Section: electronics Priority: extra Description: Netgen complete LVS tool for comparing SPICE or verilog netlists Netgen complete LVS tool for comparing SPICE or verilog netlists . http://opencircuitdesign.com/netgen/ Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 359 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: ./amd64/netgen-lvs-dbgsym_1.5.133-c4m.0.0_amd64.deb Size: 321118 MD5sum: 8517d460f03453583a23c4ac35955621 SHA1: 97cf800b015d0fc54f57707e7b0e4b1b70566b16 SHA256: f6401e317da790e20e33d584a961a16120c93e4ebad28ab2a5a73e5500b3173b Section: debug Priority: extra Description: Debug symbols for netgen-lvs Build-Ids: 7e1e095afd58dc2a798706f4dce55a969c96526c c911cf6b020214cb60c6c33b22aa56d164f95dec Package: netgen-lvs-dbgsym Source: netgen Version: 1.5.133-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 325 Depends: netgen-lvs (= 1.5.133-c4m.0.0) Filename: ./i386/netgen-lvs-dbgsym_1.5.133-c4m.0.0_i386.deb Size: 293578 MD5sum: cdf95458c511bf79f2012e7587852a03 SHA1: d9129ac1e3ebaf660c72274eb6ac220a7ba14b07 SHA256: 17554185691d89f71cc587029365dea926a134ca46f4689e7142335a8caeaae5 Section: debug Priority: extra Description: Debug symbols for netgen-lvs Build-Ids: 01247f2a742d2af6faeb392b6b4a2c0983406e1e 751f70b84b5a44d9f82b6562c15c136d256cf177 Package: qflow Version: 1.4.62-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 5673 Depends: libc6 (>= 2.14), yosys, graywolf, qrouter, magic, netgen-lvs Conflicts: qflow-tech-osu018, qflow-tech-osu035, qflow-tech-osu050 Filename: ./amd64/qflow_1.4.62-c4m.0.0_amd64.deb Size: 585496 MD5sum: 3891736004f501b457d99a9c617612c1 SHA1: f8c4f021239893387a4e0b67cf18edbe773e03d1 SHA256: 320c73cf02952d9731c442326c8f79d95c70d1379d6613d629aaad4216b1e94c Section: electronics Priority: extra Description: Qflow full end-to-end digital synthesis flow for ASIC designs Qflow full end-to-end digital synthesis flow for ASIC designs . http://opencircuitdesign.com/qflow/ Package: qflow Version: 1.4.62-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 5761 Depends: libc6 (>= 2.7), yosys, graywolf, qrouter, magic, netgen-lvs Conflicts: qflow-tech-osu018, qflow-tech-osu035, qflow-tech-osu050 Filename: ./i386/qflow_1.4.62-c4m.0.0_i386.deb Size: 592044 MD5sum: 6b12a6c522bba4baf84c020bf996781f SHA1: 1b05247e730d57da93070e25d41881b19408a250 SHA256: ad877134a2aabbe69d41e2dfd11265a5cf907f4b114efab96d1cf2420cf4ae5b Section: electronics Priority: extra Description: Qflow full end-to-end digital synthesis flow for ASIC designs Qflow full end-to-end digital synthesis flow for ASIC designs . http://opencircuitdesign.com/qflow/ Package: qflow-dbgsym Source: qflow Version: 1.4.62-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 775 Depends: qflow (= 1.4.62-c4m.0.0) Filename: ./amd64/qflow-dbgsym_1.4.62-c4m.0.0_amd64.deb Size: 631624 MD5sum: 8ef4310220f93b9be98414591a4e59e3 SHA1: 501151245a03d743016c685a8248bfb1008a6200 SHA256: 6d45372c639dbc782c1910b4b2f85c0b4b2422f55065a8ab90f43bbe401ab83e Section: debug Priority: extra Description: Debug symbols for qflow Build-Ids: 1180db3741aa0bb1af93ff7ca59fadd1f523c86c 169df8c5b0ac7cb76eba28708f28ce507bfcb5e1 5c9b0c862673309111f87b49ce3b6c26b5e83722 680c720dd6c137b73cf1bb9549d08cd5af971a1b 6aa309bba70c86945951cf38193dd7f41d08f14f 73a4177690d65a1e80422f7fac570703f19a28b7 8859e2ca0a25c8ea1ef3ee72c5288c18fad25814 a69239e5860738c666b13107522fb4ba468d2d8f b682de1a6976108a45f41bd43a0c8bbcf10a3338 bb2c87f08a8f5058440fb2fa149c71ce78be3692 c133f158780ea56c99563c33172e2b3928a917d8 e282d9778ab11babe2e68a0b5e976ca9785d4e0d ed5f6f3ec8b96e5339732f6e2bfd096665dcde08 Package: qflow-dbgsym Source: qflow Version: 1.4.62-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 654 Depends: qflow (= 1.4.62-c4m.0.0) Filename: ./i386/qflow-dbgsym_1.4.62-c4m.0.0_i386.deb Size: 532820 MD5sum: 20d10b4282143bd5571c385c853888ff SHA1: aaa7417a12d54b1efdfd4b7e6c867fbb6ba8305a SHA256: b7536ee4568f09454a63e71ee6d7774dae544a15dee1e456a40914a3a7b9ff26 Section: debug Priority: extra Description: Debug symbols for qflow Build-Ids: 0ca61dfbcf261e518b40c37f41541c8d62414ce0 1c5562815d6c461c29f03a72480c65aa8f2f86a3 1d0fd937f5217cce94dfd893e860642103c978ed 5bfea87cf4e2fa92ed6502e3380f4399ffddfa82 72839c992b05dd6f7719066bbdb195249b0fbc22 88046cef9b0ab1a2d9a96cff05c632ed6914fcd5 937d86c8212f7d72480bcab5674f59f4c911ea58 97a0d7a7e23bf3db1a9e4aaee04b870c770f8bf2 a31b7f8abc9568e8efca5bbf3f82c48fca13b072 afb81647a426e5a8166e34aba76faba7e8c955e2 bc8de66c614ce9d0bf2e0233ab0d9c740edcb66c d6e93bb82731f9647d972ab9dd149ae3a7442235 ddd391649cfb05272ba387fa90943303268bd8f4 Package: qrouter Version: 1.4.19-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 480 Depends: libc6 (>= 2.14), libice6 (>= 1:1.0.0), libsm6, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, libxt6 Filename: ./amd64/qrouter_1.4.19-c4m.0.0_amd64.deb Size: 166194 MD5sum: 3c1eac3ee705f82da45cfb5fd2e2f0d1 SHA1: 2e399fc2c27a58e0c951de16b5f1b2f42140113e SHA256: 582865915ceecd70814870257a08837e260d399d687a4687aab2ae09181187d7 Section: electronics Priority: extra Description: Qrouter detail router for digital ASIC designs Qrouter detail router for digital ASIC designs . http://opencircuitdesign.com/qrouter/ Package: qrouter Version: 1.4.19-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 503 Depends: libc6 (>= 2.7), libice6 (>= 1:1.0.0), libsm6, libtcl8.6 (>= 8.6.0), libtk8.6 (>= 8.6.0), libx11-6, libxt6 Filename: ./i386/qrouter_1.4.19-c4m.0.0_i386.deb Size: 171748 MD5sum: ac3c482f9b3ed71c7078fb6240c31806 SHA1: 09404a4081895cbd897484b45c9159ee0dfb99bc SHA256: 9fa09f8614f5b4356765558f0339f7e529d80ea2ea25c12906e5cdb6942e83e8 Section: electronics Priority: extra Description: Qrouter detail router for digital ASIC designs Qrouter detail router for digital ASIC designs . http://opencircuitdesign.com/qrouter/ Package: qrouter-dbgsym Source: qrouter Version: 1.4.19-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 563 Depends: qrouter (= 1.4.19-c4m.0.0) Filename: ./amd64/qrouter-dbgsym_1.4.19-c4m.0.0_amd64.deb Size: 535170 MD5sum: 0b6b81893d2aeef58b9371922a106cbd SHA1: bb90f467e7cbe3fe0b95ff3d04ba07a1160fcfef SHA256: c17a89dc4bf456cb3ae65db2720be329f542241e0e4e6a5b6664b6dc1783805e Section: debug Priority: extra Description: Debug symbols for qrouter Build-Ids: 19a8d9af91dae03197c269efb85d134db34a039f a62c49d51ac0e9c529fb12698585fe2e6e03dfe5 ea617c37201569a153ec5428723918346592df57 Package: qrouter-dbgsym Source: qrouter Version: 1.4.19-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 528 Depends: qrouter (= 1.4.19-c4m.0.0) Filename: ./i386/qrouter-dbgsym_1.4.19-c4m.0.0_i386.deb Size: 503990 MD5sum: 40939e202fdf68d69030ab58519b1293 SHA1: 23a1a8f447d06678f2fe5eee70304c7e85734cb2 SHA256: b8e8eb8d1b7b912efa36635cc8313561f5c24ce11a4100568987395633a6924c Section: debug Priority: extra Description: Debug symbols for qrouter Build-Ids: 49d0ec60f3e1993e455aa0eb47f611dba8bdd215 6b60d8045f7e71cdfa8ee2df7f70520a737518ba 981539ea833f404753e8f90d5ab3e58e9ed9f668 Package: verilator Version: 4.020-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 14766 Depends: libc6 (>= 2.14), libgcc1 (>= 1:3.0), libstdc++6 (>= 5.2) Filename: ./amd64/verilator_4.020-c4m.0.0_amd64.deb Size: 3079084 MD5sum: 27e9ced4d297f4d58044356dbe4487a8 SHA1: 25ec79f2edb4f709729a41ef9abba72d708fc310 SHA256: 6d6f28887145ad655ec3f4e1a9b7e04506c8fc7044aa558cbc1ae257ce1a673c Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator Version: 4.020-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 13217 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.2), libstdc++6 (>= 5.2) Filename: ./i386/verilator_4.020-c4m.0.0_i386.deb Size: 3146396 MD5sum: ca43ad29ac3977cb905002540b11aad2 SHA1: 95687833ea36af4710b3929bb5766817690ae37a SHA256: b535cf60f051c4ad792234c3d601a8b2ab4d9fef6cf9a6ae3195d9a3f20dc6ec Section: electronics Priority: extra Description: A fast simulator for synthesizable Verilog Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams. Package: verilator-dbgsym Source: verilator Version: 4.020-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 61731 Depends: verilator (= 4.020-c4m.0.0) Filename: ./amd64/verilator-dbgsym_4.020-c4m.0.0_amd64.deb Size: 60243998 MD5sum: 2823432fb6bddedc5ee2840a3f8a2095 SHA1: d03c15d6e9020bb3c09a51c557d7e62ae3c6d4eb SHA256: bab8b67ce6b86467b14b6aaa8da5d7d1a511f8e2b104dc9b6b1af3ca2197632d Section: debug Priority: extra Description: Debug symbols for verilator Build-Ids: 15802410e406851187c5dccacbc123e55cc8b642 db1b84f177f7fecc2240135da6176088e7871edf eb3e10e23a2e691b09c0225a81ddda1eb5c9a661 Package: verilator-dbgsym Source: verilator Version: 4.020-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 58647 Depends: verilator (= 4.020-c4m.0.0) Filename: ./i386/verilator-dbgsym_4.020-c4m.0.0_i386.deb Size: 57661718 MD5sum: 287d90ccc9d1a139a7d580ad998bbe17 SHA1: 8ba6aa8f086859c205981418eaa9969bc9d777e9 SHA256: 353d6b877e6a346588bc320a1eac5d1a27ff8ee3f76cd039266f3f0556dee285 Section: debug Priority: extra Description: Debug symbols for verilator Build-Ids: 4c85e3040a5f1e1edb2349fe69e231bbb2bb4253 e8d37b0153d2e0abf85a4015695713c1d3d44a72 f0f660e7ee9ee4d98003693a4ecc9af177746b77 Package: yosys Version: 0.9+932-c4m.0.0 Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 43432 Depends: libc6 (>= 2.15), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./amd64/yosys_0.9+932-c4m.0.0_amd64.deb Size: 12868302 MD5sum: 0dedd42b1504fd1bb431daef4f9dcc24 SHA1: f225844a9854f116a687b53924cad810367265ff SHA256: e2e7a2190af74466cd6befd35f5311ab15b161d73927deb854ce2834c295fd4c Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys Version: 0.9+932-c4m.0.0 Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 48463 Depends: libc6 (>= 2.15), libffi6 (>= 3.0.4), libgcc1 (>= 1:3.0), libreadline7 (>= 6.0), libstdc++6 (>= 5.2), libtcl8.6 (>= 8.6.0), zlib1g (>= 1:1.1.4) Filename: ./i386/yosys_0.9+932-c4m.0.0_i386.deb Size: 13426492 MD5sum: b88d013c5827a88dc5f50cfa28328001 SHA1: ae9709a4eedfa43469354e781c4a85e98b452ba1 SHA256: a7b390d393cb010eacd148a5ab7c757f3d94ddbc36683d6e08f5667fe5b2f24c Section: electronics Priority: extra Description: Yosys Open SYnthesis Suite This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license). . http://www.clifford.at/yosys/ Package: yosys-dbgsym Source: yosys Version: 0.9+932-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: amd64 Maintainer: Staf Verhaegen Installed-Size: 59985 Depends: yosys (= 0.9+932-c4m.0.0) Filename: ./amd64/yosys-dbgsym_0.9+932-c4m.0.0_amd64.deb Size: 56444392 MD5sum: ac5c8e5d77840c7ef817798e0f449678 SHA1: 84eb25fd6d3833ef49cd4d488cb0ae6d5b76b64c SHA256: 661a1f4552c5a4a98751b926045ea9c430343e4831d51f927eb18ce10a0a0ff3 Section: debug Priority: extra Description: Debug symbols for yosys Build-Ids: 3a586b6881574e208a98ae07bd84e981aee6356a 7914a715d4c64bcfd1eac8e04a49798220025352 7914a715d4c64bcfd1eac8e04a49798220025352 Package: yosys-dbgsym Source: yosys Version: 0.9+932-c4m.0.0 Auto-Built-Package: debug-symbols Architecture: i386 Maintainer: Staf Verhaegen Installed-Size: 4641 Depends: yosys (= 0.9+932-c4m.0.0) Filename: ./i386/yosys-dbgsym_0.9+932-c4m.0.0_i386.deb Size: 457168 MD5sum: 1d0a406e7adf1e55a7c1a2dfe9ab838c SHA1: 291049879d691df6d772f37662555d5500ae5825 SHA256: 8ed338430b7bd7da8e802ac31af1fc7f28fa11d72bb5a84e136699cb8a80dce1 Section: debug Priority: extra Description: Debug symbols for yosys Build-Ids: 1a9ad0c3d4116f28ef770604b703799c7769aecc 1a9ad0c3d4116f28ef770604b703799c7769aecc a19248f7ee5df10b302deeb9bef5fa640490442c